Report Template

Size: px
Start display at page:

Download "Report Template"

Transcription

1 Lattice ECP3 DDR3 メモリ I/F 1

2 目次 1 このドキュメントの概要 DDR_MEM モジュールを使用する場合の注意点 PLL の配置およびクロック入力ピンに関する注意事項 クロック位相調整回路のタイミング制約と配置指定 CSM の配置指定 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項 IP コアの制約 実デザインでの IP コアの実装 IP コアの構造とブロック間の接続 実デザインでの IP コアの実装 デバイスの一辺に2つ以上の IP コアを実装する場合の注意点 デバイスの両辺に IP を実装する場合の注意点 ピンアサインに関する注意 ピンアサインのルール DQS 指定ピンと DQS グループ ボードデザインに関する注意 配線ルール Fly-by トポロジの推奨 信号間の配線間幅のルール CLK/CLK# 間の配線ルール CLK/ADD/CMD 間の配線ルール CLK と DQS 間の配線ルール DQS/DQS# 間の配線ルール DQS/DQ/DM 間の配線ルール 終端ルール CLK/CLK# の終端 CMD/ADD の終端 DQS の差動終端 DQ の終端 終端の概略図 その他 VREF ピン 使用禁止ピン

3 6 改訂履歴

4 1 このドキュメントの概要このドキュメントでは LatticeECP3 に DDR3 メモリ I/F を実装する際の注意点について説明します 文中で {} 内に書かれている文字列は JEDEC の DDR3 SDRAM Specification 内で定義されているパラメータ名です このドキュメントではこれらのパラメータについて説明していませんので 詳細は JEDEC の DDR3 SRRAM Specification か各社の DDR3 SDRAM のデータシートを参照してください なお 最新のデザインルールは web 上で公開されている Lattice ECP3 Hardware Checklist に記載されています 最新情報は必ずこちらのチェックリストで確認してください 4

5 2 DDR_MEM モジュールを使用する場合の注意点この章では IPexpress で生成した DDR_MEM モジュール ( メモリ I/F の PHY 部分 ) を使用する際の注意事項について説明します DDR3 SDRAM Controller の IP コアを使用する場合は 合わせて 3 章もご覧ください 2.1 PLL の配置およびクロック入力ピンに関する注意事項 DDR_MEM モジュールで使用できる PLL には配置の指定があります ( 表 2-1) デバイス サイド 1 表 2-1 PLL の配置と入力指定ピン各パッケージの入力指定ピン PLL 配置 FPBGA484 FPBGA672 FPBGA1156 ECP3-35 左 PLL_R35C5 L5 U6 -- 右 PLL_R35C70 M18 V34 -- ECP3-70 左 PLL_R43C5 L5 U6 M3 右 PLL_R43C142 M18 V34 T21 ECP3-95 左 PLL_R43C5 L5 U6 M3 右 PLL_R43C142 M18 V34 T21 ECP3-150 左 PLL_R61C5 -- M3 U6 右 PLL_R61C T21 V34 1 パッケージ上面 (Top View) から見た場合の方向です DDR_MEM モジュール内で使用している PLL と PLL へのクロック入力ピンは インプリ時に必ずピンアサインおよび配置指定を行ってください 配置指定は constraint ファイル (*.lpf) に以下の様に記述します 入力ピン 記述ルール LOCATE COMP クロック入力ピン名 SITE ピン番号 ; 記述例 LOCATE COMP pll_clk SITE Y28 ; PLL 記述ルール LOCATE COMP PLL 名 SITE PLL 配置 ; 記述例 LOCATE COMP "uut/inst1_ehxpllf" SITE "PLL_R53C70"; 5

6 ピンアサインは GUI ツール [Spreadsheet View] でも行うことができます PLL のインスタンス名は [Translate design] 後に GUI ツール [Netlist View] を開くか [Map Design] プロセスのレポートで確認することができます 図 2-1 Lattice Diamond の Netlist View クロック位相調整回路のタイミング制約と配置指定 DDR_MEM モジュールは 内部でクロック間の位相を調整する CSM(Clock Synchronization Module) を含んでいます 正しくクロック間の位相を調整するために いくつかのネットに対する遅延制約とリソースの配置指定が必要になります CSM の配置指定 CSM は 4 つのサブモジュールで構成されています 論理合成を行うと 自動的にサブモジュールごとの PGROUP( リソースのグループ ) が生成されるので PGROUP ごとに配置指定を行いまいます デバイス サイド 1 表 2-2 CSM サブモジュールの配置指定 PGROUP の配置 2 PLL 配置 */clk_phase0 */clk_phase1a */clk_phase1b */clk_stop ECP3-35 左 PLL_R35C5 R24C5D R34C2D R34C2D R34C2D 右 PLL_R35C70 R24C70D R34C73D R34C73D R34C72D ECP3-70 左 PLL_R43C5 R32C5D R42C2D R42C2D R42C2D 右 PLL_R43C142 R32C142D R42C145D R42C145D R42C144D ECP3-95 左 PLL_R43C5 R32C5D R42C2D R42C2D R42C2D 右 PLL_R43C142 R32C142D R42C145D R42C145D R42C144D ECP3-150 左 PLL_R61C5 R50C5D R60C2D R60C2D R60C2D 右 PLL_R61C178 R50C178D R60C181D R60C181D R60C180D 1 パッケージ上面 (Top View) から見た場合の方向です 2 * の部分にはデザインのインスタンス名に依存した文字列となります 6

7 配置指定は constraint ファイル (*.lpf) に以下の様に記述します 入力ピン 記述ルール LOCATE PGROUP グループ名 SITE 配置指定場所 ; 記述例 LOCATE PGROUP "clocking/clk_phase/phase_ff_0_inst/clk_phase0" SITE "R50C5D" ; 7

8 3 DDR3 SDRAM Controller IP を使用する場合の注意事項 3.1 IP コアの制約 IP コアのインプリに必要な配置指定やタイミング制約は IP コア生成時にサンプルプロジェクトと一緒に 以下のファイルに出力されます [ プロジェクトフォルダ ]/ddr_p_eval/***/impl/synplify/***_eval.lpf *** は IPexpress で IP コア生成時に設定した module(entity) 名 このファイル内の制約は全て 実際のデザインプロジェクトでも適用して下さい なお 生成および実デザインへの適用に関しては 以下の点に注意してください IP コアのバージョンや IPexpress 上の各種設定を変更すると 配置指定やタイミング制約の内容が変わることがあります IP コアを生成しなおした際は その都度生成される制約ファイルを使用してください 生成される制約ファイルは インスタンス名や信号名がサンプルプロジェクトに合わせて生成されています 実デザインに適用するためには 多少の修正 ( インスタンス名や信号名の変更 ) が必要になります メモリ I/F のピンアサインは DQS ピンのみ生成時の設定に従って指定されています その他のピンは 4 章のルールに従ってピンアサインを行ってください サンプルプロジェクトでは自動でピンアサインされるので その結果をそのまま使用しても構いません 最適な制約はデバイス ( およびパッケージ ) ごとに異なります IP コア生成時には 必ず使用するデバイスを選択しておいてください 3.2 実デザインでの IP コアの実装 IP コアの構造とブロック間の接続 IPexpress で生成される IP コアは メモリコントローラブロックとクロック生成ブロックの 2 つに分かれています これらのブロックの接続方法は IP コアと同時に作成されるリファレンスデザインを参照してください 図 3-1 リファレンスデザインのブロックダイアグラム リファレンスデザインのプロジェクト [ プロジェクトフォルダ ]/ddr_p_eval/***/impl/synplify/***_eval.ldf *** は IPexpress で IP コア生成時に設定した module(entity) 名 8

9 3.2.2 実デザインでの IP コアの実装実デザインで IP コアを使用する際は リファレンスデザインを参照して IP コアをブラックボックスとして HDL ソースにインスタンスしてください IP コアを作成したプロジェクトが実デザインのプロジェクトなら IP コアのネットリストは [Translate Design] プロセスで合成結果と結合されます IP を作成したプロジェクト以外のプロジェクトで IP コアを使用する場合は IPexpress が出力する ngo ファイル (IP コアのネットリスト ) を実デザインのプロジェクトフォルダにコピーするか [Translate Design] プロセスのオプション [Macro Search Paths] で ngo ファイルのあるフォルダを指定してください 図 3-2 IP コアのネットリストパス指定 デバイスの一辺に 2 つ以上の IP コアを実装する場合の注意点デバイスの一辺 ( 右か左 ) に 2 つ以上の IP コアを実装する場合 HDL ソース内でコントローラモジュールは複数インスタンスする必要がありますが クロック位相調整ブロック [ddr3_clks] は 1 つを共有します 図 3-3 一辺に 2 系統実装する際の回路構成 [ddr3_clks] の配置指定はコントローラを複数実装した場合でも変わりませんが コントローラモジュールの配置指定はピンアサインによって最適な設定が異なります 複数の IP コアを実装する場合は それぞれのピンアサインで IP コアを生成し 作成されるリファレンスデザイン内の制約内容をマージして 実デザインに適用してください 9

10 3.2.4 デバイスの両辺に IP を実装する場合の注意点両辺にそれぞれ IP コアを実装する場合 配置指定等の制約はそれぞれの辺に実装する IP ごとに必要になります 各辺に実装する設定で IP コアを個別に作成し 実デザインでは両 IP コアの制約をマージして適用してください 10

11 4 ピンアサインに関する注意 4.1 ピンアサインのルール DDR3 メモリインターフェイスのピンアサインは以下のルールを守ってください BOTTOM サイド ( パッド番号が PBxxA/B) のピンには RST# 以外の DDR3 メモリインターフェイスの信号をアサインしない DQ/DQS/DM は必ずデバイスの左右の辺にあるピンを使用する (IO バンク 2/3/6/7) DQS は必ず DQS( 差動の + 側 ) 指定ピンにアサインする (DQS# は自動的に差動の - 側のピンにアサインされる ) DQS# がアサインされるピンに 他の信号をアサインしない DQS に対応する DQ[7:0] および DM( オプション ) は 必ず DQS と同じ [DQS グループ ] のピンにアサインする 使用する DQS ピンの隣のピン ( パッド ) はガードバンドとして High レベル固定出力ピンにし ボード上で VCCIO に接続する ただし VREF ピンを含む DQS グループではガードバンドは不要 ( 図 4-1 参照 ) DM は DQS ピンの隣 ( ガードバンドとは反対側 ) に配置する VREF ピンを含む DQS グループでは VREF ピンから遠い方の DQS/DQS# の隣に配置する ( 図 4-1 参照 ) 図 4-1 DQS 用ガードバンドと DM の配置例 DQS グループは 左右の辺の中央辺りのグループから優先的に使用し 辺の上下一番端にある DQS グループは使用しない 11

12 DQ/DQS/DM をアサインした DQS グループと隣り合っている DQS グル プの内 最低でも 1 つを Spacer( ノイズに対するガードバンド ) として使用し DQ/DQS/DM をアサインしない Spacer には CK/CMD/ADD はアサインしてもよい 図 4-2 Spacer の配置例 Spacer として使用する DQS グループの両端のピンと DQS/DQS# のピン ( 図 4-3 参照 ) は High または Low レベル出力に固定しボード上で該当する IO バンクの VCCIO か GND に接続する この際の VCCIO と GND の接続本数比が 3:1~2:1(VCCIO:GND) となるようにする 図 4-3 ガードバンド内で High または Low 出力に固定するピン 12

13 CK/CK# ピンは 対応する DQ/DQS/DM が配置されているサイド (Left or Right) の任意のピンにアサインする 周波数が 400Mhz の場合は左右の IO バンクにアサインすること 周波数が 400Mhz 未満の場合は TOP の IO バンクにアサインしてもよい CLK/ADD/CMD 信号は DDR 出力に対応した IO ピン (= 差動 IO をサポートするピン ) にアサインする VREF 入力は各 IO バンクに 2 本あるが 必ず [VREF1] を使用する ([VREF2] はユーザー I/O として任意の信号をアサインしても問題ない ) DDR3 メモリ I/F で使用する PLL へのリファレンスクロックは 使用する PLL の指定入力ピンから入力する ( 表 2-1 参照 ) 未使用の PLL 入力ピン () は ボード上で VCCIO に接続する ( 難しければ GND でも可 ) 図 4-4 PLL 入力ピンの例 4.2 DQS 指定ピンと DQS グループ DQS 指定ピンとそれに対応する DQS グループは web で公開されている Pinout リストから知ることができます 図 4-5 Pinout List の例 13

14 このリストの [ パッケージタイプ DQS] 行に [Edge]DQS[num]_[P/N] と書かれているピンが DQS 指定ピンです [edge] は PAD のある辺を表しており R(Right) か L(Left) になります [num] は DQS の PAD 番号です P が差動で + 側 N が差動で - 側のピンです HDL ソース上の DQS ピンは 必ず + 側のピン (*_P) にアサインします DQS のバッファタイプとして [SSTL15D] を選択すると - 側のピンも自動的にアサインされます 同じく [ パッケージタイプ DQS] 行に [Edge]DQ[num] と書かれているピンは [Edge] および [num] が一致する DQS に対応する DQ( および DM) をアサインできるピンです 14

15 5 ボードデザインに関する注意 5.1 配線ルール Fly-by トポロジの推奨 1 つのメモリコントローラに 2 つ以上のメモリデバイスを制御する場合 CLK/ADD/CMD 信号は分岐配線ではなく Fly-by トポロジの採用を必須とします Fly-by トポロジの場合 メモリコントローラ側に Write Leveling 機能の実装が必要です メモリデバイスが 1 つの場合は必須ではありませんが 可能な限り Fly-by トポロジの採用を推奨します 信号間の配線間幅のルール各信号の配線間は クロストークノイズを防ぐため配線の幅の 3 倍の間隔をあけることを推奨します ただし DQS/DQS# および CLK/CLK# の差動ペア間はこのルールの対象外とします CLK/CLK# 間の配線ルール CLK および CLK# 間は配線長の差分が ±10mil(0.01 インチ ) 未満になるように配線してください CLK/ADD/CMD 間の配線ルール CLK/ADD/CMD 間は配線長の差分が ±100mil(0.1 インチ ) 未満になるように配線してください また 配線には DQ/DQS とは異なるレイヤを使用してください CLK と DQS 間の配線ルールコントローラに Write Leveling 機能を実装しない場合 ( もしくは Write Leveling 実行前 ) ECP3 からのクロック出力と DQS 出力の位相は 図 5-1 のように DQS 出力の方が CLK 出力よりも 0~250p 進んでいます (DQS の方が早くトグルします ) 図 5-1 CLK と DQS の skew ボード上の CLK と DQS の配線は以下のルールに従ってください DIMM を使用する場合 (Write Leveling 必須 ) DIMM ソケットまでの CLK と DQS( およびその他の信号 ) は 等長配線 ( スキューが ±100ps 以下 ) になるよう配線してください DMM を使用しない場合 (Write Leveling なし ) Write Leveling 機能を ECP3 に実装しない場合は CLK と DQS の配線遅延スキューは ±100ps 以下にしてください DIMM を使用しない場合 (Write Leveling あり ) Write Leveling 機能を ECP3 に実装する場合は CLK の配線遅延が DQS の配線遅延より 500ps ~1500ps 大きくなるように配線してください 15

16 5.1.6 DQS/DQS# 間の配線ルール DQS および DQS# 間は配線長の差分が ±10mil(0.01 インチ ) 未満になるように配線してください DQS/DQ/DM 間の配線ルール DQS と DQ/DM は 配線長の差分が ±50mil(0.05inch) 以内に収まるように配線してください また 各信号は可能な限り同じレイヤ 同じ経路で配線すること 経由するビアの数は 2 つ以下にすることを推奨します 5.2 終端ルールこの項では LatticeECP3 と DDR3 メモリを接続するための終端方法について説明します なお 基本的にはこの項で説明する終端を行っていただくことを推奨しますが ボードのデザインによっては十分な信号品質を確保できない場合もあります このため 事前に IBIS シミュレーション等を行い 必要であれば各信号線にシリアル抵抗等を挿入することも検討してください CLK/CLK# の終端 CLK/CLK# は 接続されているもっとも遠いメモリ近傍 ( メモリから 5mm 以下 ) において線間 100Ω で終端することを推奨します CMD/ADD の終端 CMD/ADD は ECP3 および DDR3 メモリ端での外部終端は不要です しかし必要な場合はダンピング抵抗 (22~35Ω 程度 ) を ECP3 近傍に入れてください DQS の差動終端 DQS/DQS# は 信号線ごとに LatticeECP3 近傍で VTT に対して 100Ω で終端することを推奨します LatticeECP3 から近傍の終端抵抗までの配線長は 15mm 以下にすることを推奨します ただし 以下の条件を満たす場合は この終端抵抗はなくても構いません ECP3 と DDR3 メモリが 1:1 で接続されている ECP3 と DDR3 メモリ間の配線距離が 3 インチ以下 IBIS または SPICE シミュレーションで波形の乱れが確認されない DQ の終端 DQ は 信号線ごとに LatticeECP3 近傍で VTT に対して 100Ω で終端することを推奨します LatticeECP3 から近傍の終端抵抗までの配線長は 15mm 以下にすることを推奨します ただし DQS と同様の条件を満たす場合は この終端抵抗はなくても構いません 16

17 5.2.5 終端の概略図 図 5-2 ECP3 と DDR3 メモリの終端 (ECP3-DDR3 が 1 対 1 で接続されている場合の例 ) 5.3 その他 VREF ピン各 IO バンクの VREF には専用の POL で生成したリファレンス電圧を印加することを推奨します 抵抗で分圧した電圧を印加する場合は 可能な限りノイズが乗らないようにフィルタ等を使用してください なお どちらの場合でも VREF 電圧をより安定させるため VREF ピン端に 0.1uF 程度のデカップリング容量を使用することを推奨します 図 5-3 VREF 電源のデカップリング 17

18 5.3.2 使用禁止ピンデバイスの規模を問わず FPBGA1156 パッケージを使用する場合 以下のピンは使用しないでください AN29, AM31 このピンに入力 / 出力される信号がトグルすると XRES ピンに影響を与え 結果として DDR3 メモリ I/F の入出力信号にジッタやノイズがのって誤動作してしまいます 18

19 6 改訂履歴 バージョン リリース日 改訂内容 Ver /8 初版リリース Ver /1 ガードバンドの呼称をテクニカルノートに合わせて Spacer に変更 4.1 項のピンアサインルールを変更 19

Report Template

Report Template 日本語マニュアル 第 11 章 フロアプランニングと リソース配置指定 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は

More information

TMS320C6455 におけるDDR2 PCBレイアウトの実装

TMS320C6455 におけるDDR2 PCBレイアウトの実装 JAJA082A 2008 年 08 月 TMS320C6454/5 DDR2 PCB レイアウトの実装 アプリケーション技術部 アブストラクトこの文書には TMS320C6454/5に搭載されているDDR2 インターフェイス用の実装方法の説明が含まれています DDR2 インターフェイスに対してタイミングを規定するアプローチは 以前のデバイスとは異なります 以前のアプローチでは データシートでの規定およびシミュレーション

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

プロバイダ ユーザーズガイド

プロバイダ ユーザーズガイド AIO プロバイダユーザーズガイド 1 AIO プロバイダ CONTEC AIO ボード Version 1.0.1 ユーザーズガイド July 17,2012 備考 AIO プロバイダユーザーズガイド 2 改版履歴 バージョン 日付 内容 1.0.0.0 2011712 初版. 1.0.1.0 2012529 メタモード追加. 1.0.1 2012717 ドキュメントのバージョンルールを変更. 対応機器

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

UMB-CP2114 User's Manual

UMB-CP2114 User's Manual UMB-CP2114 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

CONTEC DIOプロバイダ ユーザーズガイド

CONTEC DIOプロバイダ ユーザーズガイド DIO プロバイダユーザーズガイド - 1 - DIO プロバイダ CONTEC DIO ボード Version 1.1.2 ユーザーズガイド July 17, 2012 備考 ORiN2SDK2.0.14 以降, このプロバイダは CONTEC 社の API-DIO(WDM) を使用しています. 以前 までの API-DIO(98PC) を使用する場合は,DIO98 プロバイダを使用してください.

More information

Report Template

Report Template 日本語マニュアル 第 21 章 シミュレーション ユーザーガイド ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

TMS320DM644x DMSoC におけるDDR2 PCB レイア ウトの実装

TMS320DM644x DMSoC におけるDDR2 PCB レイア ウトの実装 10 月 2006 年 TMS320DM644x DMSoC における DDR2 PCB レイアウトの実装 アプリケーション技術統括部 アブストラクト この文書には TMS320DM644xデジタル メディア システム オン チップ (DMSoC) に搭載されているDDR2インターフェイス用の実装方法の説明が含まれています DDR2インターフェイスに対してタイミングを規定するアプローチは 以前のデバイスと比べて実に困難なものです

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17 Revision.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved - of 7 目次 はじめに 3. 概要 4 2. 主要緒言 5 3. 各種インターフェース機能説明 8 4. 外形寸法 4 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Taro-82ADAカ.jtd

Taro-82ADAカ.jtd デジタル & アナログ絶縁入出力ユニット解説書製品型式 8 2 A D A - K C 製品型式 8 2 A D A - B D 製品型式 D A C S - 8 2 0 0 この解説書は 8 2 A D A または D A C S - 8 2 0 0 の動作と使用方法について簡単に説明したものです D A C S - 8 2 0 0 の場合は この解説書の 8 2 A D A という表現を 一部

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt 40nm FPGA が実現する最先端メモリ インタフェース 日本アルテラ株式会社プロダクト マーケティング マネージャ橋詰英治 アルテラが提供する完全なソリューション CPLD 低コスト FPGA ミッド レンジ FPGA 高集積 高性能 多機能 FPGA ASIC エンベデッド プロセッサ IP コア製品群 開発ソフトウェア 開発キット 2 最新 40nm FPGA & ASIC 製品 11.3Gbps

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

, 0 ピンコネクタ (JTAG 接続 ) ピン配列コネクタ型番 SAMTEC 製 SHF-1-01-L-D-TH 表 0 ピンコネクタ (JTAG 接続 ) ピン配列 コネクタピン番号 CPU 信号名 備考 1 VTRef IO 電源 TMS 3 GND 4 TCLK 5 GND 6 TDO 7

, 0 ピンコネクタ (JTAG 接続 ) ピン配列コネクタ型番 SAMTEC 製 SHF-1-01-L-D-TH 表 0 ピンコネクタ (JTAG 接続 ) ピン配列 コネクタピン番号 CPU 信号名 備考 1 VTRef IO 電源 TMS 3 GND 4 TCLK 5 GND 6 TDO 7 1 ARM Cortex Debug ETM プローブ取扱説明書 本製品はハーフピッチ /0 ピン Cortex Debug コネクタ用のプローブになります ターゲットボードに搭載されたコネクタのピンに合わせて付属の ピンまたは 0 ピンケーブルで接続してください また 本製品は JTAG 及び SWD に対応しています 接続に関しては 各機能代表的な接続を後述の接続図や JP 設定を参照してください

More information

KEIm-25ヘッダーボードハードウェアマニュアル

KEIm-25ヘッダーボードハードウェアマニュアル Ver.1.0 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください 本製品には一般電子機器用部品が使用されています

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を Agilent ADS で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B003_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library に含まれるモデルは標準的な

More information

Report Template

Report Template 日本語マニュアル 第 2 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP SiTCP ライブラリ 第 1.1 版 2012 年 10 月 24 日 内田智久 Electronics system group, IPNS, KEK 1 / 12 履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/24 1.1 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

Armadillo-800 EVAリビジョン情報

Armadillo-800 EVAリビジョン情報 Armadillo-800 EVA リビジョン情報 A8000-D00Z Version 1.2.0 2012/07/30 株式会社アットマークテクノ [http://www.atmark-techno.com] Armadillo サイト [http://armadillo.atmark-techno.com] 株式会社アットマークテクノ 060-0035 札幌市中央区北 5 条東 2 丁目 AFT

More information

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/ キーサイトウェブセミナー 2016 誰もが陥る DDR メモリトラブル回避法 キーサイト テクノロジー合同会社アプリケーションエンジニアリング部門小室行央 メモリ トレンド DDR4 と LPDDR4 の速度域が重なる 12800 6400 3200 1600 800 400 200 100 1.5V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる

More information

GTR Board

GTR Board TB-FMCH-12GSDI ご購入に際してのご注意 1 変更履歴版数 日付 内容 担当者 Rev.1.00 2015/06/25 初版 天野 Rev.1.01 2015/07/22 2 章 Pre-production 品に関する記載を削除 天野 2016/09/16 3 章評価環境を更新 4 章ボードの機能評価状況を更新 6 章リファレンスデザインのダウンロード先を追加 森田 2 目次 1. 概要と関連書類...

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05)

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05) アプリケーションノート : ファミリ XAPP453 (v1.0) 2005 年 2 月 2 日 3.3 信号を使用した のコンフィギュレーション 概要 このアプリケーションノートでは Spartan -3 および Spartan -3L の 3.3 コンフィギュレーションについて説明しています ここでは コンフィギュレーションモード別に完全な接続図を示しており インプリメンテーションに簡単に利用できる便利なソリューションです

More information

Rational Roseモデルの移行 マニュアル

Rational Roseモデルの移行 マニュアル Model conversion from Rational Rose by SparxSystems Japan Rational Rose モデルの移行マニュアル (2012/1/12 最終更新 ) 1. はじめに このガイドでは 既に Rational( 現 IBM) Rose ( 以下 Rose と表記します ) で作成された UML モデルを Enterprise Architect で利用するための作業ガイドです

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 10 July 2018 目次 1. 本マニュアルについて 2.( 前準備 ) ライブラリの解凍と保存 3. プロジェクトの作成 4. シミュレーションプロファイルの作成 5.LIBファイルの登録 6.OLBファイルの登録 7. コンデンサのインピーダンス計算例

More information

CodeRecorderでカバレッジ

CodeRecorderでカバレッジ 株式会社コンピューテックス Copyright 2016 Computex Co.,Ltd. 2017.11 カバレッジ と 単体テスト カバレッジとは プログラムがどれだけ実行されているかを示す指標です プログラム全体に対して実行された比率をカバレッジ率で表します カバレッジの基準として 一般的にC0 C1が使われております C0カバレッジは 全体のうち何 % が実行されたかで求めます C1カバレッジは

More information

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の 付録 A. OP アンプ内部回路の subckt 化について [ 目的 ] 実験で使用した LM741 の内部回路を subckt 化して使用する [ 手順と結果 ] LTspice には sample として LM741 の内部回路がある この内部回路は LM741.pdf[1] を参照している 参考サイト : [1]http://www.ti.com/lit/ds/symlink/lm741.pdf

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

ServerView RAID Manager VMware vSphere ESXi 6 インストールガイド

ServerView RAID Manager VMware vSphere ESXi 6 インストールガイド ServerView RAID Manager VMware vsphere ESXi 6 インストールガイド 2018 年 11 月 27 日富士通株式会社 アレイを構築して使用する場合 RAID 管理ツールの ServerView RAID Manager を使用します VMware vsphere ESXi 6.x ( 以後 ESXi 6 または ESXi と略します ) サーバで ServerView

More information

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERClassic のダウンロード 8 5.ispLEVERClassic のインストール 11 6.Active-H

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERClassic のダウンロード 8 5.ispLEVERClassic のインストール 11 6.Active-H isplever Classic インストールマニュアル for PC isplever_classic_installation_manual_rev1.0.ppt Page: 1 目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERClassic のダウンロード

More information

簡易版メタデータ

簡易版メタデータ 簡易版メタデータ (OOMP:Oceanographic Observation Metadata Profile) エディタマニュアル 操作説明書 平成 20 年 3 月発行 東北沿岸域環境情報センター - 目次 - 1 はじめに...- 1-2 注意事項...- 1-3 操作全体フロー...- 2-4 メタデータ作成方法...- 2-4 メタデータ作成方法...- 3-4.1 エディタの起動...-

More information

TN Using User Flash Memory and Hardened Control Functions in MachXO2 Devices Reference Guide

TN Using User Flash Memory and Hardened Control Functions in MachXO2 Devices Reference Guide 配置配線 2015 年 3 月 Lattice Diamond 日本語ユーザガイド 第 14 章配置配線 本章では Lattice Diamond の配置配線 (Place & Route Design) プロセスのストラテジ (Strategy) 設定方法や 設定の詳細について説明します 14.1 Place & Route Design プロセスの概要 [Place & Route Design]

More information

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERStarter のダウンロード 8 5.ispLEVERStarter のインストール 11 6.Active-H

目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5 4.ispLEVERStarter のダウンロード 8 5.ispLEVERStarter のインストール 11 6.Active-H isplever starter ver.. 7.x インストールマニュアル for PC isplever7.x_starter_mico32system_installation_manual_rev1.0.ppt Page: 1 目次 < 項目 > < ページ > 1.ispLEVER の種類 3 2.LaticeSemiconductor 社 HP へアクセス 4 3. ユーザ登録方法 5

More information

MAHO Dialer について MAHO Dialer は MAHO-PBX を経由し PC にて着信時に発信者情報をポップアップしたり 着信履歴などから発信操作を行うためのソフトウエアです このガイドでは MAHO Dialer のインストール アップデート 初期設定 使用方法 および アンイン

MAHO Dialer について MAHO Dialer は MAHO-PBX を経由し PC にて着信時に発信者情報をポップアップしたり 着信履歴などから発信操作を行うためのソフトウエアです このガイドでは MAHO Dialer のインストール アップデート 初期設定 使用方法 および アンイン IP-PBX サポートツール 3.02.02 ファーストステップガイド この度はまほろば工房製品をお買い上げ頂き 誠にありがとうございます ご使用の前に 必ずこのドキュメントをお読みになり 正しくお使いください MAHO Dialer について 1 動作環境 1 インストール 2 アンインストール 4 初期設定 5 使用方法 8 MAHO Dialer について MAHO Dialer は MAHO-PBX

More information

FMC 対応 USB3.0-IP デモ基板取扱い説明書 [ Ver2.0J] AB07-USB3FMC(2.5V 版 )/AB07-USB3FMC-1.8VIF(1.8V 版 ) はじめにこの度は FMC 対応 USB3.0-IP デモ基板 [ 型番 :AB07-USB3FMC(2.5V 版 ) /

FMC 対応 USB3.0-IP デモ基板取扱い説明書 [ Ver2.0J] AB07-USB3FMC(2.5V 版 )/AB07-USB3FMC-1.8VIF(1.8V 版 ) はじめにこの度は FMC 対応 USB3.0-IP デモ基板 [ 型番 :AB07-USB3FMC(2.5V 版 ) / FMC 対応 USB3.0-IP デモ基板取扱い説明書 [ Ver2.0J] AB07-USB3FMC(2.5V 版 )/AB07-USB3FMC-1.8VIF(1.8V 版 ) はじめにこの度は FMC 対応 USB3.0-IP デモ基板 [ 型番 :AB07-USB3FMC(2.5V 版 ) / AB07-USB3FMC-1.8VIF(1.8V 版 )] ( 以下 デモ基板と略します ) をご採用頂き誠にありがとうございます

More information

評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価

評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価 S1V50300 評価キット NEWCASTLE 版 Rev.1.00 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価ボード キット 開発ツールは

More information

スライド 1

スライド 1 Multimeter Version 1. 3. 3 簡易取扱説明書 2009 年 9 月 9 日 この簡易説明書は Multimeter Version 1. 3. 3 ( 以後 IntuiLink) の簡易説明書です サポートしておりますマルチメータは 34401A, 34405A, 34410A, 34411A, L4411A, 34420A です IntuiLink Multimeter は

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

改訂履歴 日付バージョン記載ページ改訂内容 V2.1 - 初版を発行しました V3.1 P5 ドキュメントラベルが新規追加された事を追記 P7 P8 新しくなったラベルのツリー表示説明を追記 新しくなったラベルの作成 削除操作を追記 P9 ラベルのグループ

改訂履歴 日付バージョン記載ページ改訂内容 V2.1 - 初版を発行しました V3.1 P5 ドキュメントラベルが新規追加された事を追記 P7 P8 新しくなったラベルのツリー表示説明を追記 新しくなったラベルの作成 削除操作を追記 P9 ラベルのグループ 改訂履歴 日付バージョン記載ページ改訂内容 2012-10-23 V2.1 - 初版を発行しました 2013-08-30 V3.1 P5 ドキュメントラベルが新規追加された事を追記 P7 P8 新しくなったラベルのツリー表示説明を追記 新しくなったラベルの作成 削除操作を追記 P9 ラベルのグループ別参照権限設定操作を追記 2015-06-16 V5.0 P27 クラスター入力値を帳票備考にコピーする説明を追記

More information

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している USB 用バススイッチ IC 2 to 1 Bus Switch 概要 は USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用しているため 高密度実装への対応が可能です 本 IC の入力にレベルシフト回路内蔵のため 外付けレベルシフト回路は不要です 特長 入力電圧範囲 :3.0V~3.6V

More information

__________________

__________________ 第 1 回シミュレータとモデル第 2 回伝送線路シミュレータ 1. 伝送線路シミュレータ電子機器の動作速度の高速化に伴い 伝送線路シミュレータが多く使われるようになって来ました しかし 伝送線路シミュレータも実に簡単に 間違えた結果 を出力します しかも 電子機器は進歩が急で 信号スピードはどんどん速くなり 伝送線路シミュレータも毎年のように機能アップしたり 精度向上をした 新製品 新バージョンが出てきます

More information

Microsoft Word - 回路基板設計製造の高度化に関する戦略策策定_報告書H28.03_JPCA

Microsoft Word - 回路基板設計製造の高度化に関する戦略策策定_報告書H28.03_JPCA 機械システム調査開発 27-D-7 回路基板設計製造の高度化に関する戦略策定報告書 一般財団法人機械システム振興協会委託先一般社団法人日本電子回路工業会 序 現在 我が国では 産業競争力強化に向けて 革新的技術を核としたイノベーションを生み出すべく ロボットやIoT 等の新しい技術の活用による様々な試みが進められていますが その動きをより強固なものにするには 長年培ってきた多種多様な技術革新の芽を大きく育てる仕組み

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は に掲載され

このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は   に掲載され このたびは SDLink をお買上げいただき 誠にありがとうございます この製品をはじめてお使いなる前に [ 梱包内容 ] の確認と取扱い説明書をお読みください データシート アプリケーションノートを含む最新の技 術資料は http://www.dgway.com/sdlink.html に掲載されていますので ダウンロードし参照してください [ 梱包内容 ] SDLink 本体 1 ケ microsd(sdhc)

More information

HDLトレーナーサンプルプログラム説明書

HDLトレーナーサンプルプログラム説明書 H8-BASE2 拡張キット説明書 June 10,2007 株式会社ソリトンウェーブ 目次 本製品の付属品について...3 本製品に付属するサンプルプログラムについて...4 サンプルソースの説明...5 1.TimerATest...5 2.LcdTest...5 3.AdcTest...5 4.AdcTest2...5 5.ComTest...5 6.PS2Test...6 7.FanTest...6

More information

2STB240PP(AM-2S-G-005)_02

2STB240PP(AM-2S-G-005)_02 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (1 8) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0 7.0 0.3 S+0.3 0.3 CC+0.3 0.7 +75 45 +5 (1)S= 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

Confidential

Confidential WebARENA VPS クラウド はじめての VPS クラウド Ver1.1 2015.09.08 株式会社エヌ ティ ティピー シーコミュニケーションズ - 1 目次 目次... 2 1. 序章... 3 1-1. 作業概要... 3 2. はじめての VPS クラウド... 4 2-1. サーバー管理用コンパネへのログイン... 4 2-2. キーペアの作成... 5 2-3. セキュリティグループの作成...

More information

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用 プログラム仕様書 (UML 表記法 ) ガイドライン 本仕様書に UML(Rational Rose 使用 ) を用いてプログラム仕様書を作成する際のガイドラインを記す 1. ドキュメントの様式について 1 ドキュメントは制御単位で作成する 2 表紙 及び変更履歴は SWS にて指定されたものを付加すること 3 下記の目次内で指定している UML 図 記述項目は必須項目とする 4SoDa にてドキュメントを出力する場合は

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Team Foundation Server 2018 を使用したバージョン管理 補足資料

Team Foundation Server 2018 を使用したバージョン管理 補足資料 Team Foundation Server 2018 を使用したバージョン管理 Magic xpa 3.0/Magic xpa 2.5/uniPaaS V1Plus 補足資料 マジックソフトウェア ジャパン株式会社 2018 年 8 月 24 日 本ドキュメントは Magic xpa 3.0/Magic xpa 2.5/uniPaaS V1Plus で Team Foundation Server(

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

2STB240AA(AM-2S-H-006)_01

2STB240AA(AM-2S-H-006)_01 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (A1 A2) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0.0 0.3 S+0.3 0.3 CC+0.3 10 0. 20 + 4 +12 (1)S=12 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

Report Template

Report Template Lattice Diamond 日本語マニュアル 第 8 章 Spreadsheet View での 制約設定 ( 本 Lattice Diamond 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます

More information

注意事項. 本資料は Microsoft Office Visio 007/00 で電子 電気回路図を作成するための電気用図記号ステンシルに関する取扱説明書です 本書内で使用する電気用図記号の意味および内容については JIS あるいは IEC に関する書籍 文献をご利用ください また 各ステンシル

注意事項. 本資料は Microsoft Office Visio 007/00 で電子 電気回路図を作成するための電気用図記号ステンシルに関する取扱説明書です 本書内で使用する電気用図記号の意味および内容については JIS あるいは IEC に関する書籍 文献をご利用ください また 各ステンシル Microsoft Visio 007/00 用 電気用図記号ステンシル 取扱説明書 動作環境について 以下の Microsoft Office Visio が動作可能な環境 Microsoft Office Visio Professional 007 Microsoft Office Visio Standard 007 Microsoft Office Visio Professional 00

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

改版履歴 版数改版履歴改版年月日 1 新規作成 2013/3/29 2 TESTIO_MODE を追加 OVER_ACTION VG_STALL_ACTION の設定値を変更 2013/9/30 3 CLUSTERPRO MC StorageSaver for BootDisk (for Linux

改版履歴 版数改版履歴改版年月日 1 新規作成 2013/3/29 2 TESTIO_MODE を追加 OVER_ACTION VG_STALL_ACTION の設定値を変更 2013/9/30 3 CLUSTERPRO MC StorageSaver for BootDisk (for Linux CLUSTERPRO MC RootDiskMonitor 1.2 for Linux CLUSTERPRO MC StorageSaver for BootDisk 1.2 (for Linux) パラメータシート 第 3 版 2014 年 3 月 31 日 日本電気株式会社 改版履歴 版数改版履歴改版年月日 1 新規作成 2013/3/29 2 TESTIO_MODE を追加 OVER_ACTION

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

1. はじめに (1) 本書の位置づけ 本書ではベジフルネット Ver4 の導入に関連した次の事項について記載する ベジフルネット Ver4 で改善された機能について 新機能の操作に関する概要説明 ベジフルネット Ver4 プログラムのインストールについて Ver4 のインストール手順についての説明

1. はじめに (1) 本書の位置づけ 本書ではベジフルネット Ver4 の導入に関連した次の事項について記載する ベジフルネット Ver4 で改善された機能について 新機能の操作に関する概要説明 ベジフルネット Ver4 プログラムのインストールについて Ver4 のインストール手順についての説明 システム名称 : ベジフルネットシステム第 3 期 ベジフルネット Ver4 操作説明資料 目次 1. はじめに P1 2. 新機能の操作について (1) マスタ更新機能操作概要 P2 (2) 履歴出力機能操作概要 P6 (3) チェック機能操作概要 P7 (4)CSV 出力機能 P8 3. ベジフルネット Ver4 プログラムのインストール (1) ベジフルネット Ver4 インストール手順 P9

More information

部品ライブラリシステム

部品ライブラリシステム 部品データの共有と運用方法 2016 年 8 月 Quadcept 株式会社マーケティング部森本泰久 部品データと共有方法について 1. 部品について 1. 部品の構造 2. 運用提案 ( 回路図作成後に部品を選定する場合 ) 3. ID 管理について 2. 部品の共有について 1. Quadcept のファイル構成について 2. ファイルの受け渡しについて 3. ファイル受け渡しによる ID 重複について

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

ATB-A400CAN

ATB-A400CAN ATB-M2M CAN 対応ボード ATB-AGAD-CAN 製品マニュアル Version 1.1.0 2016/3/2 アドバリーシステム株式会社 目次 1. はじめに... 1 2. ボード説明... 2 2.1 ボード概要... 2 2.1.1 部品実装図... 2 2.2 基板実装方法... 3 2.2.1 組み立て... 3 2.2.2 付属品... 3 2.3 ジャンパ設定... 4

More information

HL SI & HL FWS Script Control

HL SI & HL FWS Script Control IBIS-LPB Design Kit LPB フォーマットを活用した構想設計自動化 ( 株 ) 東芝 青木孝哲 ( 株 ) 東芝 岡野資睦 メンターグラフィックス ジャパン ( 株 ) 門田和博 Page1 概要 LPB フォーマットと LPB Design Kit を用いて PCB 構想設計を行う HyperLynx SI を用いて IBIS モデル コンデンサ SPICE モデルを Import

More information

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴う改訂 2018/01/22 ソフトウェア OS のバージョンアップに伴う改訂

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴う改訂 2018/01/22 ソフトウェア OS のバージョンアップに伴う改訂 Studuino 基板セットアップ USB デバイスドライバのインストール 2014/11/01 作成 2018/01/22 改訂 改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴う改訂 2018/01/22 ソフトウェア OS のバージョンアップに伴う改訂

More information

二次元連続動的計画法による知的画像処理システム ImageFileSelector RTC 機能仕様書 ImageFileSelectorRTC Ver.1.0 ( 株 ) 東日本計算センター 1 / 11

二次元連続動的計画法による知的画像処理システム ImageFileSelector RTC 機能仕様書 ImageFileSelectorRTC Ver.1.0 ( 株 ) 東日本計算センター 1 / 11 機能仕様書 ImageFileSelectorRTC Ver.1.0 ( 株 ) 東日本計算センター 1 / 11 改版履歴 Ver 改版日 内容 0.5 2016/02/15 新規作成 0.6 2016/03/1 GUI 釦配置変更 1.0 2016/3/14 初版リリース 2 / 11 目次 目次...3 1. はじめに...4 1.1. 対象読者... 4 1.2. 適応範囲... 4 1.3.

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

NS-Draw Ver

NS-Draw Ver 第 2 章インストール 本章では コンピュータへの NS-Draw のインストール方法について解説します 次の STEP1~3の順番で説明を進めていきます 2.1 インストール 2.2 ライセンスの確認 2.3 回路シミュレーションの実行までの確認 2.1 インストールインストーラのメニューに従って インストール作業を行ってください インストール先を c:\program Files にすると Windows

More information

Microsoft Word - 02_PCIe特集_ボード設計.doc

Microsoft Word - 02_PCIe特集_ボード設計.doc PCI Express ボード設計特集 - ボードを検討されている方必見!- 2006 年 11 月第 2 回 目次 PCI Express ボード設計特集 -ボードを設計されている方必見!-... 2 1 PALTEK PCI Express 評価ボード -PTKB -... 2 1.1 PALTEK PCI Express 評価ボードのコンセプト... 2 1.2 多くのメリット... 2 1.3

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M MPPC 用電源 C1104-0 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C1104-0は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても MPPCを常に最適動作させるために温度補償機能を内蔵しています ( アナログ温度センサの外付けが必要 ) また

More information

PCL6115-EV 取扱説明書

PCL6115-EV 取扱説明書 PCL6115 スターターキット 取扱説明書モーションパターンビルダーサンプルプロジェクト 目次 1. はじめに... 1 1-1. 動作環境... 2 1-2. 動作モード... 2 1-3. 使用したプログラミング言語... 2 1-4. 注意... 2 2. サンプルプロジェクトの構成... 3 2-1. フォルダ構成... 3 2-2. ファイル構成... 3 3. デバイスドライバのインストール...

More information

オンボード・フラッシュ・プログラマ FP-10技術資料

オンボード・フラッシュ・プログラマ FP-10技術資料 2019-02-28 本資料は以下の内容で構成されています オンボード フラッシュ プログラマ FP-10 技術資料 ( 第 13 版 ) FP-10 を使用する前に参照していただく資料です FP-10 ユーザーズ マニュアル ( 第 59 版 ) - 抜粋版 ( ) FP-10 側ターゲット インターフェース仕様について記載されています FP-10 ユーザーズ マニュアルより 第 7 章ターゲット

More information

HULFT8 for Windows/UNIX/Linux/zLinux の機能で発生する不具合について

HULFT8 for Windows/UNIX/Linux/zLinux の機能で発生する不具合について 2019 年 05 月 24 日 ( 改訂日 :2019 年 7 月 11 日 ) お客様各位 株式会社セゾン情報システムズ HULFT 事業部 HULFT8 for Windows/UNIX/Linux/zLinux の ファイルトリガ機能で発生する不具合について HULFT8 for Windows/UNIX/Linux/zLinux Ver.8.4.0 において 下記の不具合が発見されましたので

More information

Mindjet MindManager Version 9 for Windows サービスパック 2 リリースノート : 2011 年 4 月 20 日

Mindjet MindManager Version 9 for Windows サービスパック 2 リリースノート : 2011 年 4 月 20 日 Mindjet MindManager Version 9 for Windows サービスパック 2 : 2011 年 4 月 20 日 MindManager Version 9 for Windows で修正された問題 MindManager 9 ビルド 9.2.545 合計期間が 1 日未満の仕事間の依存関係が 強制的に別の日に開始された 依存する仕事の合計期間が一作業日未満である場合は それらの仕事を同じ日に開始できるようになりました

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

作業環境カスタマイズ 機能ガイド(応用編)

作業環境カスタマイズ 機能ガイド(応用編) Customize Feature Guide by SparxSystems Japan Enterprise Architect 日本語版 作業環境カスタマイズ機能ガイド ( 応用編 ) (2018/05/16 最終更新 ) 1 はじめに このドキュメントでは Enterprise Architect を利用して作業を行う場合に より快適に作業を行うためのカスタマイズ可能な項目について説明します

More information