PPTフォーム(white)

Size: px
Start display at page:

Download "PPTフォーム(white)"

Transcription

1 Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1

2 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2

3 概要 ( ファミリ ) Virtex-6 LXT FPGA Virtex-6 SXT FPGA Virtex-6 HXT FPGA 多数のロジック 高速シリアル接続 多数のロジック 高速シリアル接続 拡張 DSP 多数のロジック 超高速シリアル接続 Spartan-6 LX FPGA Spartan-6 LXT FPGA ロジックブロック RAM DSP パラレル I/O シリアル I/O 最低コストロジック 最低コストロジック 低コストシリアル接続 3

4 概要消費電力 スタティック消費電力の削減 プロセス制御 アーキテクチャの革新 ダイナミック消費電力の削減 低ノード容量 アーキテクチャの革新 Power Consumption Spartan-6 Earlier FPGAs 多数のハード IP 機能 Frequency 統合トランシーバおよびその他のロジックにより消費電力を削減 ハード IP はソフト IP より電流量および消費電力が少ない 低消費電力オプション : -1L によりさらに消費電力を削減 コア電圧を 1.0V まで削減 4

5 Spartan-6 アーキテクチャ LUT6 CLB ブロックRAM DSPスライス高速クロッキングギガビットトランシーバ PCIe インターフェースメモリコントローラ 3.3V I/O LX45T デバイス 5

6 CLB 1CLB 内に 2 つのスライスが存在 SLICE X と SLICE M SLICE X と SLICE L で構成 SLICE L/M は専用のキャリーチェーンで接続可能 大規模な加算 / 減算ロジック構築可能 スイッチマトリックスを通り 他のファンクションへ接続可能 6

7 スライス SLICEM (25%) SILCEL (25%) SLICEX (50%) 4 個の6 入力 LUT 8 個のFF キャリーロジック マルチプレクサ 分散 RAM/ シフトレジスタ 4 個の6 入力 LUT 8 個のFF キャリーロジック マルチプレクサ 4 個の 6 入力 LUT 8 個の FF 7 7

8 LUT 6 入力の LUT を採用 ( 共通の入力を持つ 2 つの 5 入力 LUT ) 4 入力 LUT に比べパフォーマンスの向上 1 つまたは 2 つの出力が可能 6 入力としての単一ファンクション または 5 入力としての 2 個のファンクションとして使用可能 8

9 分散 RAM SLICEM を使用した分散 RAM LUT1 つで 64BitRAM を構成可能 最大 256BitRAM 構成可能な分散 RAMと使用されるLUT 数は下記表を参照 シングルポート デュアルポートシンプルデュアルポート クワッドポートとして構成可能 9

10 ブロック RAM ブロック RAM 数を増加 最大約 4.8Mb まで サイズ : 18kbit 2 つの 9kbit の RAM としても構成可能 Dual-Port BRAM パフォーマンス : 最大 300MHz 多彩なコンフィギュレーションオプション シンプルデュアルポート トゥルーデュアルポート シングルポート 2 つの独立ポートが共通データアクセス 各ポートに アドレス クロック 書き込みイネーブル クロックイネーブルあり 各ポートは個別に設定可能 10

11 SelectIO ベースは Spartan-3 の I/O 1.2~3.3v サポート 新機能 400 MHz DDR3 サポート (800 Mbps) ISERDES, OSERDES, IODELAY 追加 内部終端 拡張機能 LVDS 1050 Mbps サポート HD TMDS 750 Mbps サポート 全ての I/O で双方向をサポート 11

12 SelectIO(I/O 規格 ) Spartan-6 の I/O は 1.2v~3.3v の電圧をサポート 40 以上の I/O 規格をサポート LVCMOS (3.3v, 2.5v, 1.8v, 1.5v, & 1.2v) LVCMOS_JEDEC LVDS, Bus LVDS LVPECL (2.5v, 3.3v) PCI I2C HSTL (1.8v, 1.5v, Classes I, II, III, IV) HSTL_I_12 (unidirectional only) SSTL (2.5v, 1.8v, 1.5 Classes I, II) DIFF_SSTL_I DIFF_SSTL18_I DIFF_SSTL_II DIFF_HSTL_II DIFF_HSTL_I_18, DIFF_HSTL_I, RSDS_25 (point-to-point) PPDS TMDS Display Port Aux Channel 12

13 SelectIO (I/O タイル ) I/O タイルは 2 つの IOB と ILOGIC OLOGIC IODELAY で構成される 内部終端を搭載 終端が必要な規格のサポート 外部終端の削減 13

14 SelectIO (IOLOGIC) 各 I/O ペアに 2 つの IOLOGIC マスタおよびスレーブ 個別に動作または連結して動作可能 IOLOGIC に含まれるもの IOSERDES パラレルーシリアル変換器 ( シリアライザ ) シリアルーパラレル変換器 ( デシリアライザ ) IODELAY 遅延の入力が可能 SDR および DDR リソース 14

15 クロック ( グローバル ) Spartan-6 には 16 個のグローバルクロックバッファ搭載 クロックバッファによってグローバルクロックへ分配可能 バッファを駆動できるピンは32 本 (GCLK) DCM/PLLから駆動可能 低ジッタ 低スキューの高速配線 15

16 クロック (IO クロック ) I/O リソース専用のクロックネットワーク グローバルクロックリソースとは別 最大スピード : 1GHz 複数のソースによる I/O ロジックのクロッキング BUFIO2 : 高速動作する専用の I/O クロック信号用 BUFPLL : CMT の PLL で駆動されるクロック用 16

17 クロック (CMT) デバイスには最大で 6 個の CMT を搭載 1CMT は 2 つの DCM 1 つの PLL で構成 Function 低周波数入力位相シフトスペクトラム拡散クロック生成クロックスキュー除去デューティーサイクルの調整分周 逓倍クロックジッタの除去高周波数入力 Use DCM DCM DCM DCM or PLL DCM or PLL DCM or PLL PLL PLL 17

18 DSP 250MHz インプリメンテーション 前置加算器を追加 対称 FIR フィルタおよび複素乗算器に使用 高速乗算器および 48 ビット加算器 ASIC に匹敵するパフォーマンス 高速動作用の入力および出力レジスタ FIR フィルタアプリケーション用に最適化 18

19 メモリコントローラブロック (MCB) Spartan-6 には MCB を搭載 最大 4 つのコントローラを搭載 DDR, DDR2, DDR3, LP DDR をサポート Density 128Mbits 256Mbits 512Mbits 1Gbits 2Gbits 4Gbits DQ Bits x16 x8 x4 x16 x8 x4 x16 x8 x4 x16 x8 x4 x16 x8 x4 x16 LP DDR DDR DDR2 DDR3 サポート M CB 3 M CB 4 M CB 3 M M CB CB LX4 LX9 3 1 M M M M CB LX16 CB CB CB LX25/T LX45/T M M CB CB 5 4 LX100/T M M LX150/T CB CB 1 3 M CB 1 M CB 5 M CB 1 19

20 MCB( パフォーマンス ) Memory Type Data Rate: Mbps DDR Max. Bandwidth per MCB Interface Min. Max. (Note 2) 4-bit 8-bit 16-bit DDR (Note 1) 400 Mbps (200 MHz) DDR2 (Note 1) 800 Mbps (400 MHz) DDR3 (Note 1) 800 Mbps (400 MHz) LP DDR (Note 1) 400 Mbps (200 MHz) Note 1:MIN 値は対象のメモリデバイスに依存 Note 2:MAX 値はスピードグレード -3 のデバイス 1.6 Gbps 3.2 Gbps 6.4 Gbps 3.2 Gbps 6.4 Gbps 12.8 Gbps 3.2 Gbps 6.4 Gbps 12.8 Gbps 1.6 Gbps 3.2 Gbps 6.4 Gbps 20

21 GTP GTP トランシーバ : 最大 Gbps 低コストで使用しやすい Spartan-6 LXT に搭載 21

22 GTP デバイス パッケージ毎の GTP 搭載数 22

23 Spartan-3A と Spartan-6 の比較 Feature Extended Spartan-3A (90nm) Spartan-6 (45nm) Logic Cells (Kbit) 55K 147K LUT 4 入力 LUT + FF 6 入力 LUT + 2FF ブロック RAM (Mbits) 2 Mbit 最大 4.8 Mbit トランシーバ数 / 速度 なし 最大 8 個 / 最大 Gbps Static Power (typ. mw) 11 mw 最大 60% 削減 Memory Interface 400 Mbps DDR3 800 Mbps Memory Controllers なし 最大 4 個 Multipliers/DSP 126 Multipliers / DSP 180 DSP48 Blocks 差動 I/O / 最大速度 640 Mbps 1050 Mbps Clock Management DCM DCM & PLL PCI Express Endpoint なし Gen 1, x1 セキュリティ Device DNA Only Device DNA & AES 23

24 まとめ 約 3000 ~ 150,000 個のロジックセル 約 185,000 個のファブリックフリップフロップ 約 144Kb ~ 4.8Mb のブロック RAM 多数のメモリを含むアーキテクチャ 最大約 1.3Mb の分散 RAM 最大 180 個の DSP スライス 最大 540 個の SelectIO インターフェイスピン 低レイテンシメモリおよびパラレルインターフェイス 最大 8 個の 3.125Gbps シリアルトランシーバ ほとんどのプロトコルで使用可能 エンベデッドハード IP メモリコントローラ PCIe エンドポイント 低コストパッケージ 24

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド Spartan-6 FPGA クロックリソース [Guide ユーザー Subtitle] ガイド [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the

More information

資料作成Wordフォーム(社外秘)

資料作成Wordフォーム(社外秘) Spartan-6 FPGA 設計ガイド Ver1.0 ( PSDB ) 1 本設計情報は 設計者の皆様がザイリンクス社 Spartan-6 デバイス選択 および設計を進める上で必要となる基本的な注意事項をまとめた資料です Spartan-6 に関する詳細な技術情報はデータシートおよびユーザーガイドを参照してください 本資料に記載されている各事項については データシートおよびユーザーガイドに記載されている事項を優先します

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

Xilinx UG190 Virtex-5 FPGA ユーザー ガイド

Xilinx UG190 Virtex-5 FPGA ユーザー ガイド Virtex-5 FPGA ユーザーガイド 本資料は英語版 (v4.5) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt 40nm FPGA が実現する最先端メモリ インタフェース 日本アルテラ株式会社プロダクト マーケティング マネージャ橋詰英治 アルテラが提供する完全なソリューション CPLD 低コスト FPGA ミッド レンジ FPGA 高集積 高性能 多機能 FPGA ASIC エンベデッド プロセッサ IP コア製品群 開発ソフトウェア 開発キット 2 最新 40nm FPGA & ASIC 製品 11.3Gbps

More information

これさえ知っていれば迷わない -PCI Expressエンドポイント特集- 2006/10 Vol.1

これさえ知っていれば迷わない -PCI Expressエンドポイント特集- 2006/10 Vol.1 これさえ知っていれば迷わない -PCI Express エンドポイント特集 - 2006 年 10 月第 1 回 概要 PALTEK では PCI Express のブリッジ スイッチ エンドポイント 評価ボードなど PCI Express に関係する多くの商品を扱っておりますが ここでは FPGA でエンドポイント (Configuration Header Type00 を実装する I/O 階層の末端デバイス

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

Microsoft PowerPoint - Ppt ppt[読み取り専用]

Microsoft PowerPoint - Ppt ppt[読み取り専用] 28nm FPGA の 最新メモリ インタフェース技術 2011 年 9 月 6 日日本アルテラ株式会社シニア プロダクト マーケティング マネージャ橋詰英治 アジェンダ 28nm FPGA 製品の概要 28nm FPGA 製品における外部メモリ インタフェース ソリューション 2 28nm FPGA 製品の概要 業界で最も広範な 28nm 製品ポートフォリオ 28nm 製品ポートフォリオ E, GX,

More information

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note XAPP721 (v2.1) 2007 年 10 月 12 日 アプリケーションノート : Virtex-4 FPGA ISERDES と OSERDES を使用した高性能 DDR2 SDRAM インターフェイスのデータキャプチャ著者 : Maria George 本資料は英語版 (v2.1) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください 概要

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と FPGA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装 するには? 1 今までネットワークに関連するL1,L2,(L3) の世界とハードウェアの関係を見てきた 中身のよくわからない部分としてASICで構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

増設メモリ (2006/11/20)

増設メモリ (2006/11/20) (2006/11/20) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

ds031-1.fm

ds031-1.fm 0 Virtex TM -II FPGA : DS031-1 (v2.0) 2003 8 1 0 0 Virtex -II 業界初の Platform FPGA ソリューション IP-Immersion アーキテクチャ - 集積度は 4 万から 800 万システムゲートまで - 内部クロックスピードが 420MHz 注 - 840Mb/s 以上の I/O 注 注 : 以降の改訂時に変更される場合があります

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

テクニカルガイド「増設メモリ」(2006/09/15)

テクニカルガイド「増設メモリ」(2006/09/15) (2006/09/15) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

Microsoft Word - 03_PCIe特集_PCIe実現方法.doc

Microsoft Word - 03_PCIe特集_PCIe実現方法.doc でもやっぱり難しそう そう感じる貴方の為の 簡単 PCI Express 実現方法 2006 年 12 月第 3 回 目次 でもやっぱり難しそう そう感じる貴方の為の簡単 PCI Express 実現方法... 2 1 PCI Express に時間もコストも掛けたくない! そんな方へ PCI Express Bridge がお勧め!... 2 2 PCI Express Bridge とは?...

More information

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック (2009/10/28) 増設メモリ 1. 機能 型名 N8102-356 N8102-357 N8102-358 N8102-359 N8102-360 8GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック 533MHz( 差動 ) 1.5V 型名 N8102-351 N8102-352

More information

インテル® Cyclone® 10 LPデバイスの概要

インテル®  Cyclone® 10 LPデバイスの概要 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 Cyclone 10 LP デバイスの概要... 3 Cyclone 10 LP 機能の概要... 4 Cyclone 10 LP で使用可能なオプション... 5 Cyclone 10 LP の最大リソース...6 Cyclone 10 LP のパッケージプラン...6 Cyclone 10 LP の I/O

More information

7 シリーズ FPGA クロッキング リソース ユーザー ガイド (UG472)

7 シリーズ FPGA クロッキング リソース ユーザー ガイド (UG472) 7 シリーズ FPGA クロッキングリソース ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください The information disclosed to you hereunder (the Materials

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102 (2009/12/08) 増設メモリ 1. 機能 型名 N8102-339 N8102-340 N8102-341 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC3-10600) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s)

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s) アプリケーションノート : Spartan-6 FPGA XAPP1064 (v1.1) 2010 年 6 月 3 日 ソース同期のシリアライズおよびデシリアライズ ( 最大 1050Mb/s) 著者 : Nick Sawyer 概要 Spartan -6 は 入力 SerDes (ISERDES) および出力 SerDes () ブロックを備えています これらのプリミティブによって より高速な動作が実現されると同時に

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3 (2010/01/22) 増設メモリ 1. 機能 型名 N8102-361 N8102-362 N8102-363 N8102-364 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC3-10600) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-365 N8102-366 N8102-367 (x1 枚 ) (x1 枚 )

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

BX900 S1/S2/BX400 S1シャーシの搭載制限について

BX900 S1/S2/BX400 S1シャーシの搭載制限について 1 更新日 : 2017 年 4 月 1. BX900 S1/S2/BX400 S1 シャーシの搭載制限について [ 重要 ] 1.1. BX900 S1/S2 シャーシに搭載する電源 (FAN) 数による搭載制限について (BX900 S1 は 2010 年 10 月エンハンスモデル以降 ) シャーシの電源 (FAN) 構成によって 搭載可能なサーバブレードスロットが異なります 以下の図を参考に搭載可能なスロットに搭載してください

More information

システムソリューションのご紹介

システムソリューションのご紹介 HP 2 C 製品 :VXPRO/VXSMP サーバ 製品アップデート 製品アップデート VXPRO と VXSMP での製品オプションの追加 8 ポート InfiniBand スイッチ Netlist HyperCloud メモリ VXPRO R2284 GPU サーバ 製品アップデート 8 ポート InfiniBand スイッチ IS5022 8 ポート 40G InfiniBand スイッチ

More information

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』 アプリケーションノート : Virtex-II Pro および Virtex-4 ファミリ R XAPP5 (v2.1) 2005 年 7 月 20 日 著者 : Simon Tam 概要 このアプリケーションノートでは Virtex -II Virtex-II Pro または Virtex-4 デバイスにおける Error Correction Control (ECC) モジュールのインプリメンテーションについて説明します

More information

ザイリンクス WP389 : 28nm プロセスを採用した 7 シリーズ FPGA で消費電力を削減

ザイリンクス WP389 : 28nm プロセスを採用した 7 シリーズ FPGA で消費電力を削減 ホワイトペーパー : 7 シリーズ FPGA WP389 (v1.1) 2011 年 6 月 13 日 28nm プロセスを採用した 7 シリーズ FPGA で消費電力を削減 著者 : Jameel Hussein Matt Klein Michael Hart このホワイトペーパーでは TSMC 28nm high-k メタルゲート (HKMG) 高性能 低消費電力 (28nm HPL または 28

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と PA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装するには? 今までネットワークに関連する L,L2,(L) の世界とハードウェアの関係を見てきた 中身のよくわからない部分として ASI で構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない ASI

More information

TC74HCT245AP/AF

TC74HCT245AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Traceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

JA.qxd

JA.qxd Application Note http://www.ddwg.org/ DVI World PC Cable Assembly Video/Graphics Card Display Projector 2 キーワード 高速パルス シグナル ル インテグリティ インピーダンス ス マッチング EMI 対策 伝送距離の制約 相互接続性 3 http://www.ddwg.org/ DVI Revision

More information

スライド 1

スライド 1 Nehalem 新マイクロアーキテクチャ スケーラブルシステムズ株式会社 はじめに 現在も続く x86 マイクロプロセッサマーケットでの競合において Intel と AMD という 2 つの会社は 常に新しい技術 製品を提供し マーケットでのシェアの獲得を目指しています この技術開発と製品開発では この 2 社はある時は 他社に対して優位な技術を開発し 製品面での優位性を示すことに成功してきましたが

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810 (2008/11/14) 増設メモリ 1. 機能 型名 N8102-303 N8102-304 N8102-305 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N8102-300 N8102-301 N8102-302 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400)

More information

ミッシュインターナショナルでは バラエティに富んだ 皆様のご要望にお応えできるよう ボードを取り揃えております アナログ デジタルの入出力に対応 アナログ入出力では 1ch ~ 32ch 8bit ~ 24bit 10MHz ~ 10GHz サンプリングに対応した製品をご用意 デジタル入出力では 最

ミッシュインターナショナルでは バラエティに富んだ 皆様のご要望にお応えできるよう ボードを取り揃えております アナログ デジタルの入出力に対応 アナログ入出力では 1ch ~ 32ch 8bit ~ 24bit 10MHz ~ 10GHz サンプリングに対応した製品をご用意 デジタル入出力では 最 組込みシステム向け ボードカタログ サポート Xilinx : Virtex-6 Kintex-7 Virtex-7 Zynq7000 サポートバス規格 OpenVPX VME/VXS CompactPCI PMC/XMC ATCA/AMC PCI Express 403102 C MISH International Co., Ltd. MISH International Co., Ltd. ミッシュインターナショナルでは

More information

総合仕様

総合仕様 Dell Inspiron 300/400 仕様 本書には セットアップ ドライバのアップデート およびコンピュータのアップデートの際に必要となる可能性がある基本情報が記載されています メモ : 提供される内容は地域により異なる場合があります コンピュータの設定に関する詳細については スタートとサポートをクリックし お使いのコンピュータに関する情報を表示するためのオプションを選択してください ヘルプ

More information

Folie 1

Folie 1 Slide Ref -1 PCI Express の概要 PCI と PCI Express の比較 バス アーキテクチャ PCI Based PCI Express Based SDR SDRAM PCI DDR1/2 SDRAM x1, x4, x8 PCI Express CPU FSB Chipset Card Slots CPU FSB Chipset Card Slots AGP Video

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

「産業上利用することができる発明」の審査の運用指針(案)

「産業上利用することができる発明」の審査の運用指針(案) 1 1.... 2 1.1... 2 2.... 4 2.1... 4 3.... 6 4.... 6 1 1 29 1 29 1 1 1. 2 1 1.1 (1) (2) (3) 1 (4) 2 4 1 2 2 3 4 31 12 5 7 2.2 (5) ( a ) ( b ) 1 3 2 ( c ) (6) 2. 2.1 2.1 (1) 4 ( i ) ( ii ) ( iii ) ( iv)

More information

Virtex-6 FPGA クロッキング リソース ユーザー ガイド (UG362)

Virtex-6 FPGA クロッキング リソース ユーザー ガイド (UG362) Virtex-6 FPGA クロックリソース ユーザーガイド Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with

More information

Microsoft Word - 02_PCIe特集_ボード設計.doc

Microsoft Word - 02_PCIe特集_ボード設計.doc PCI Express ボード設計特集 - ボードを検討されている方必見!- 2006 年 11 月第 2 回 目次 PCI Express ボード設計特集 -ボードを設計されている方必見!-... 2 1 PALTEK PCI Express 評価ボード -PTKB -... 2 1.1 PALTEK PCI Express 評価ボードのコンセプト... 2 1.2 多くのメリット... 2 1.3

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

Cyclone V デバイスの概要

Cyclone V デバイスの概要 CV-51001-2.0 Device Overview Cyclone V デバイスは 消費電力 コスト time-to-market の要件を削減すること および量産かつコスト重視のアプリケーション用の帯域幅の要件を向上させることに同時に対応するためにデザインされています 統合トランシーバおよびハード メモリ コントローラで強化された Cyclone V デバイスは 工業用 ワイヤレスとワイヤライン

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Industrial shields brochure_JP

Industrial shields brochure_JP 信頼性の高いオープンソースハードウェア 供給電圧 I/O デジタルアナログリレー オープンソースハードウェア オープンソース PLC およびパネル PC ついに実現! オープンソースハードウェアで プロトタイプから製品化まで対応 自由自在に革新的な開発が可能 オープンソース PLC ラインアップ (Arduino 搭載 ) の概要 リレーアナログデジタル アナログデジタル アナログ リレー I/O

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Microsoft Word _最終.doc

Microsoft Word _最終.doc 添付資料 : ProCurve Switch 5400zl および 3500yl 製品概要 ProCurve Switch 5400zl/3500ylシリーズは ProCurve Networking 製品ラインの最新のインテリジェント エッジ スイッチで構成されています 5400zlには 6スロットおよび12スロットの2つのタイプのシャーシがあり 関連するzlモジュールやバンドル製品が用意されています

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

ワークステーション推奨スペック Avid Avid Nitris Mojo SDI Fibre 及び Adrenaline MC ソフトウェア 3.5 以降のバージョンが必要です Dual 2.26 GHz Quad Core Intel 構成のに関しては Configuration Guideli

ワークステーション推奨スペック Avid Avid Nitris Mojo SDI Fibre 及び Adrenaline MC ソフトウェア 3.5 以降のバージョンが必要です Dual 2.26 GHz Quad Core Intel 構成のに関しては Configuration Guideli ワークステーション推奨スペック Avid Avid Nitris Mojo SDI Fibre 及び Adrenaline MC/Symphony ソフトウェア 5.0.3 以降のバージョンが必要です Two 2.66 GHz 6-Core *Mojo SDI 及び Adrenaline サポート Intel Xeon (12 コア ) 32-bit カーネルで実 して下さい 64-bit カーネルは対応していません

More information

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化 1 PLD(Programmable Logic Device) とは ユーザが論理機能を決めることのできる IC のことです メモリや CPU ASIC 昔の 74 シリーズのような標準ディジタル IC はその機能が決まっていて これらはプログラマブルデバイスとは言いません CPU はソフトウェアで動作を変えられるので究極のプログラマブルデバイスだ という人も居ますが 一般的には専用目的 IC に分類されます

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

タイトル

タイトル 不可能への挑戦株式会社低価格 高品質が不可能? Xilinx Spartan6 XC6SLX45 ボードのマニュアル 株式会社 http://www.csun.co.jp info@csun.co.jp 作成日 2017/01/20 copyright@2017~ ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 不可能への挑戦株式会社低価格

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース

Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース June 2012 CV-52006-2.0 CV-52006-2.0 こので章は Cyclone V デバイスの利用可能な外部メモリ インタフェースおよび外部メモリ インタフェースをサポートする このシリコン機能について説明します 以下の Cyclone V デバイスの機能は外部メモリ インタフェースで使用されています ダブル データ レート 2 (DDR2) SDRAM DDR3 SDRAM および低消費電力ダブル

More information

038_h01.pdf

038_h01.pdf 04 12Gb/ & PCIe Gen3 RAID P.09 P.16 P.12 P.13 P.10 P.14 P.12 P.12 P.16 P.08 P.09 P.10 P.14 P.16 P.09 12Gb/ & PCIe Gen3 RAID 05 12Gb/秒 & PCIe Gen3 6Gb/秒 & PCIe Gen3 6Gb/秒 & PCIe Gen3 Adaptec 7シリーズRAIDアダプタファミリ

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

VM-53PA1取扱説明書

VM-53PA1取扱説明書 VM-53PA1 VM-53PA1 VM-53 VM-53A VM-52 VM-52A VM-53PA1 VM-53PA1 VM-53A CF i ii VM-53 VM-53A VM-52 VM-52A CD-ROM iii VM-53PA1 Microsoft Windows 98SE operating system Microsoft Windows 2000 operating system

More information

LDR-PMC8U2“Ä“Z.pdf

LDR-PMC8U2“Ä“Z.pdf LDR-PMC8U2 DVD-RAM DVD+R 2 DVD+R DVD+RW 1 DVD-R DVD-RW USB 2.0 High-Speed DVD-RAM R/RW DVD LDR-PMC8U2 11 DVD CD DVD DVD+R DVD+RW DVD-R DVD-RW DVD DVD DVD PC DVD Roxio Easy Media Creator 9 Express Labeler

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

44 4 I (1) ( ) (10 15 ) ( 17 ) ( 3 1 ) (2)

44 4 I (1) ( ) (10 15 ) ( 17 ) ( 3 1 ) (2) (1) I 44 II 45 III 47 IV 52 44 4 I (1) ( ) 1945 8 9 (10 15 ) ( 17 ) ( 3 1 ) (2) 45 II 1 (3) 511 ( 451 1 ) ( ) 365 1 2 512 1 2 365 1 2 363 2 ( ) 3 ( ) ( 451 2 ( 314 1 ) ( 339 1 4 ) 337 2 3 ) 363 (4) 46

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

i ii i iii iv 1 3 3 10 14 17 17 18 22 23 28 29 31 36 37 39 40 43 48 59 70 75 75 77 90 95 102 107 109 110 118 125 128 130 132 134 48 43 43 51 52 61 61 64 62 124 70 58 3 10 17 29 78 82 85 102 95 109 iii

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

DVI

DVI DVI December 2003 December 2003 ? December 2003 Page 3 Host Data Device Clock December 2003 Page 4 Data Skew Host Data Device Clock Setup Hold Data Skew December 2003 Page 5 Host Data Device Clock Setup

More information

TC74HC245,640AP/AF

TC74HC245,640AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

U4611A/B USB 2.0/3.0プロトコル・アナライザ バージョン3.7.x(MegaZoomテクノロジー採用)

U4611A/B USB 2.0/3.0プロトコル・アナライザ バージョン3.7.x(MegaZoomテクノロジー採用) Keysight Technologies U4611A/B USB 2.0/3.0 3.7.x MegaZoom Data Sheet SuperSpeed USB 02 Keysight U4611A/B USB 2.0/3.0 プロトコル アナライザ - Data Sheet LTSSM 18 GB GUI 03 Keysight U4611A/B USB 2.0/3.0 プロトコル アナライザ

More information

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い & 高い柔軟性と使いやすさを実現する包括的製品ポートフォリオ tij.co.jp/clocks 2013 アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使いやすく

More information