Lab GPIO_35 GPIO

Size: px
Start display at page:

Download "Lab GPIO_35 GPIO"

Transcription

1 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com

2 Lab GPIO_35 GPIO

3 ( C: PSoC5_Lab ) ( ) : PSoC_Lab_MasterXXXX Workspace ( ) Project ( ) Workspace Project 1 1 ( ) :

4 Workspace Workspace main.c Workspace 1. PSoC Creator 2. File Close Workspace Workspace 3. Advanced Workspace Create New Workspace

5 Workspace Workspace Current New Workspace Add to Current Workspace Workspace Create New Workspace Workspace

6 GPIO_35 GPIO (MPU ) (GPIO_35D) GPIO (MPU ) (GPIO_35P) GPIO (MPU ) (GPIO_35I) (GPIO_35D -> GPIO_35P ) GPIO_ D LED GPIO_ P / GPIO_35I LED GPIO_35D GPIO_35P (GPIO_35I) SW2 (P6_1) P0[5] LED SW2 (P6_1) P0[5] LED PSoC3/5 PSoC3/5

7 Step 1: PSoC Creator Software Step 2: Step 3: Step 4: Step 5: Step 6: Step 7: Step 8: Build Debug

8 Step 1 PSoC Creator Software 2. File>Close Workspace 1.PSoC Creater > >Cypress >PSoC Creater 2.X> PSoC Creater

9 1,Main Window 2,Work Space Explore 3,Component Catalog 4,Output Work space explore ( ) Schimatic Window Window TAB TAB TAB New XXX window SourceTAB Components Result Cypress Log Output Window

10 Step 2 File->New->Project Project GPIO_35D Location C: PSoC5_Lab

11 Step 2.1 ( ) 2.Empty PSoC5 Design ( ) Name,GPIO_35D ( ) 4. ( C: PSoC5_Lab) 6.OK 5. ( )

12 Device Selector PSoC CY8C-KIT-050 CY8C5588-AXI Q4 ES1

13 Workspace Explorer

14 Step 3 1.TopDesign.cysh ( ) 2.Port and Pins > Digital Input Pin, ( ) ( ) Ctrl + Ctrl + Ctrl + Shift + Ctrl + Shift + Alt +

15 Step 4 Pin_1 Configure

16 Step Name: Analog : Digital Input : HW Connection : Digital Output : HW Connection : Output Enable : IO IO Bidirectional : IO

17 Step 4.2 2

18 Step Drive Mode : IO TRM 22.I/O System Initial State : POR IO Drive Mode Pull Up/Down Pull Down 0 Pull Up 1

19 Step 4.4 Ctrl + Ctrl + Ctrl + Shift + Ctrl + Shift + ( ) Alt +

20 Step 5

21 Step 5.1

22 (.cydwr ) PSoC Creator.cydwr Pins Clocks Interrupts DMA

23 Step 6

24 Step 6.1 IO

25 Step 7 Pin

26 Step 8 Build : Project 1.Build>Build GPIO_35D Notice List X

27 Step 8.1

28 Step USB 2.Debug>Program(Cntl+F5) 1.USB USB ( )

29 Step 8.3

30 Step 8.4 LED1 P0[5] SW2 (P6[1])

31 Step 8.5

32 1 GPIO_35D File>Save XXXX As GPIO_35D GPIO_35P

33 2 GPIO_35P

34 3 File > Close Workspace

35 4 GPIO_35P

36 6 File >Open >Project/Workspace GPIO_35P

37 7 GPIO_35P-0000 GPIO_35P PSoC5 3 Generated_Source PSoC5 PSoC3 Generate Config

38 GPIO_35P MPU (GPIO_35P) GPIO_35P LED LED GPIO_35P GPIO_35D GPIO_35P SW2 (P6_1) P0[5] LED SW2 (P6_1) P0[5] LED PSoC3/5 PSoC3/5

39 Step 1 1 ( ) Ctrl + Ctrl + Ctrl + Shift + Ctrl + Shift + Alt + GPIO_35P

40 Step 2 2

41

42 Step 3 SW

43 Step 4 LED

44

45 Step 5 main.c if(sw_read()){ LED_Write(0); } else { LED_Write(1); }

46 Step 6 #include <device.h> void main() { } for(;;) { } if( SW_Read() ){ } else { } LED_Write(0); LED_Write(1); API uint8 Pin_1_Read( void ) void Pin_1_Write( uint8 value )

47 Step 7

48 Step 8

49 Step 9

50 Step 10 LED1 P0[5] SW2 (P6[1])

51 GPIO_35I IO GPIO_35P GPIO_35I (GPIO_35P ) GPIO_35I GPIO_35I LED LED GPIO_35P GPIO_35I

52 Step 1 1 ( ) Ctrl + Ctrl + Ctrl + Shift + Ctrl + Shift + Alt + GPIO_35I

53 Step 2 SW

54 Step 3

55 Step 4 main.c

56 Step 5 #include <device.h> CY_ISR(sw_isr){ } SW_ClearInterrupt(); if( SW_Read() ){ LED_Write(0); } else { } LED_Write(1); CY_ISR( MyISR) PSoC Creator CY_ISR void Pin_1_ClearInterrupt(void) Pin void main() { } isr_1_startex(sw_isr); CYGlobalIntEnable; for(;;) { } ISR_StartEx(cyisraddress addrss) ISR Enable CYGlobalIntEnable Enable

57 Step 6

58 Step 7

59 Step 8

60 Step 9 LED1 SW2 P0[5] SW2 (P6[1])

61 GPIO I/O割り込み GPIOポート毎に保有: Port Interrupt Control Unit (PICU) 専用の割り込みベクタ Interrupt on: Rising edge Falling edge Any edge Status Register どのピンによってI/O割り込みが発 生したかをラッチする ファームウェアによる読み出し可能 読み出しによるクリア

62 GPIO I/O Analog Global Bus Analog Mux Bus (P0[0], P0[1], P3[6], P3[7]) Opamps High Current DAC mode CapSense Touch Sensing LCD char/segment drive Hardware controlled analog mux at pin

63 SIO (Special I/O) GPIO Vdda 5.5V tolerant DAC High Speed Logic level max current 25 ma sink 4 ma source Pin max current ~50 ma sink ~25 ma source No Analog No LCD char/segment drive No CapSense touch sensing Digital Input Path Programmable Input Buffer Config CMOS or LVTTL Pin Status Register Digital Input Pin Interrupt Type Register Pin Interrupt Status Register Interrupt Controller Digital Output Path Programmable Output Buffer Configuration Slow Slew Enable Data Register Digital Output Data Register Bypass Drive Mode 2 Drive Mode 1 Drive Mode 0 Bidirectional Control Bidirectional Enable 0 1 Interrupt Logic Buffer Thresholds Drive Logic Buffer Disable Slew Cntl Driver Vhigh 5K 5K PIN

64

65 Lab GPIO_35

66 Memo URL ( ) Renji_Mikami@nifty.com (au)

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

SWEST2016.key

SWEST2016.key 1 2 ( ) @ytsuboi 2009 2012-2013 2010-3 I Cortex-M!! 5 6 - Initial e.g. Akira Tanaka AKIT akit@cypress.com 7 8 PSoC PSoC MCU CapSense PSoC 4 ARM Cortex-M0 MCU PSoC PSoC 4 BLE BLE 1 BLE 1 PSoC 4 PRoC BLE

More information

Capsense Univ. Workshop

Capsense Univ. Workshop PSoC のアーキテクチャ PMPSoC Architecture for PSoC Experiment Lab Experiment Course Material 2 V2.10 October 1st. 2018 EM2.pptx (23 Slides) Renji Mikami Renji_Mikami@nifty.com ユーザーモジュール 設計済みで, 機能 特性評価済みのデジタルおよびアナログブロック

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Microsoft PowerPoint - timer_pwm2.pptx

Microsoft PowerPoint - timer_pwm2.pptx タイマー割込みと PWM 周波数設定の演習 timer_pwm2 PSoC Experiment Lab Experiment Course Material V1.20 June 25 th., 2019 timer_pwm2.pptx (17Slides) Renji Mikami MIKAMI CONSULTING タイマー割込みを使用して PWM で音楽を演奏 ラボドレミ タイマーからの割り込みと

More information

SERVIS Multi

SERVIS Multi ... 2... 2... 3... 5... 6... 9... 10... 13... 21... 22... 22... 22!... 25... 29 1 2 3 4 5 6 7 8 9 10 USB (Mini D-Sub 15Pin) PS/2 USB PS/2 USB 11 2 1 USB 12 13 14 15 b. 16 17 18 19 20 21 22 23 24 25 (SUN

More information

Merging と AES67 ディバイスの設定

Merging と AES67 ディバイスの設定 Merging と AES67 ディバイスの設定 Configure Merging and AES67 devices AES67 interoperability with Non-Merging interface AES が X-192 グループの調査結果を批准した結果 ネットワーク上でリアルタイムオーディオストリームを送信するデバイスの相互運用性に関する AES67 の提案を発表しました

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

CY8CKIT-049使い方

CY8CKIT-049使い方 PSoC 4 CY8CKIT-049 4xxx Prototyping Kit 使 い 方 日 本 サイプレス 株 式 会 社 2014/04/07 この 資 料 の 目 的 この 資 料 はPSoC 4 Prototyping Kit Guide (001-90711) (http://www.cypress.com/?docid=48142) の 抜 粋 です 詳 細 は 原 文 を 参 照 してください

More information

PRIMERGY 環境設定シート

PRIMERGY 環境設定シート FUJITSU Server PRIMERGY CA92344-0887-04 Dual port LAN カード (10GBASE) (PY-LA3B2, PYBLA3B2, PYBLA3B2L) 環境設定シート - ハードウェア編 - 本書の構成 A. B. C.-D. E. F. BIOS セットアップユーティリティ設定シート本サーバにおける BIOS セットアップユーティリティの Option

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

エクセルソフト株式会社 WinDriver PCI 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Lin

エクセルソフト株式会社 WinDriver PCI 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Lin 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Linux Solaris VxWorks Web http://www.xlsoft.com/jp/products/windriver/ 2. WinDriver

More information

untitled

untitled 1 OrCAD PSpice OrCAD PSpice OrCAD PSpice OrCAD Capture OrCAD Capture OrCAD 15.7 Demo OrCAD Capture CIS Demo 1.1 Capture 1 OrCAD Capture 1.2 1.2 OrCAD Capture [File] [New] [Project] 1.3 Project 2 New Project

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information

フリーセルプロの使い方

フリーセルプロの使い方 FreeCell Pro 011 2 FreeCell Pro 2002 FCPRO.HLP FreeCell Pro6.4 6.5 FreeCell Pro 1000 http://solitairelaboratory.com/fcpro.html FreeCell Pro 2009 2 3 FreeCell Pro Microsoft FC 0 Windows 3.1 FreeCell Pr

More information

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2 HB102050A0-4 制限 補足 Esc Enter Esc Enter Esc Enter Main Advanced Server Security Boot Exit A SATA Configuration SATA Controller(s) SATA Mode Selection [Enabled] [RAID] Determines how

More information

Microsoft Word - Lab110131b.doc

Microsoft Word - Lab110131b.doc 組み込みソフトウェア実践プロジェクト演習講座 本演習は Xilinx ISE Design Suite 12.3 (Embedded Edition) を対象としています Lab1: BSB を使った PowerPC システムの構築 この Lab で習得する事 BSB(BaseSystemBuilder) を使ったツール基本操作と FPGA へのダウンロード手順を習得します 実習ボード設定 実習ボードのスイッチは以下のように設定してください

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

WinDriver を使用して、簡単にデバイス ドライバを作成

WinDriver を使用して、簡単にデバイス ドライバを作成 WinDriver Jungo WinDriver OS 1 2 OS WinDriver OS Windows Linux Solaris VxWorks OS WinDriver ( ) Web http://www.xlsoft.com/jp/products/downloa d/ WinDriver Ring-0 API I/O PCI/ISA USB 1 WinDriver 1. WinDriver

More information

PSoC5LP で USBMIDI 2015/5/23 第 2 回 PSoC まつり (Sat) 於 本サイプレス本社 ( 中野 ) PSoC5LP で USBMIDI ectoyfan ectoyfan アラフィフ ( 電 回路玩具ファン ) Electronic Circui

PSoC5LP で USBMIDI 2015/5/23 第 2 回 PSoC まつり (Sat) 於 本サイプレス本社 ( 中野 ) PSoC5LP で USBMIDI ectoyfan ectoyfan アラフィフ ( 電 回路玩具ファン ) Electronic Circui 第 2 回 PSoC まつり 2015 05 23(Sat) 於 本サイプレス本社 ( 中野 ) PSoC5LP で USBMIDI ectoyfan ectoyfan アラフィフ ( 電 回路玩具ファン ) Electronic Circuit Toy s Fan お仕事は博物館の中のひと 幼少期 学研の教材 電 作 アマチュア無線 マイコン 1984 年 某調布にあるヲタ養成 学でソフトウェアを学ぶ

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

スライド タイトルなし

スライド タイトルなし LightCycler Software Ver.3.5 : 200206 1/30 Windows NT Windows NT Ctrl + Alt + Delete LightCycler 3 Front Screen 2/30 LightCycler3 Front RUN Data Analysis LightCycler Data Analysis Edit Graphics Defaults

More information

FreeBSD 1

FreeBSD 1 FreeBSD 1 UNIX OS 1 ( ) open, close, read, write, ioctl (cdevsw) OS DMA 2 (8 ) (24 ) 256 open/close/read/write Ioctl 3 2 2 I/O I/O CPU 4 open/close/read/write open, read, write open/close read/write /dev

More information

EVBUM2149JP - 静電容量タッチセンサ用容量デジタルコンバータLSI評価キット取扱説明書

EVBUM2149JP - 静電容量タッチセンサ用容量デジタルコンバータLSI評価キット取扱説明書 () (1 ) 4 EVAL BOARD USER S MANUAL LC717A00AR01GEVB LC717A00AR02GEVB LC717A00AR03GEVB LC717A00ARGPGEVB USB I 2 CMM FT232H USB LC717A00AR OS Windows XP (32 bit), Windows 7 (32 bit) 16 MB 500 kb USB2.0 1.

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MultiClamp 700B Protocol Protocol MultiClamp 700B Mode Telegraph Mode Telegraph MultiClamp Commnader Mode(voltage clamp/current clamp) Clampex Protocol Protocol 1.Digitizer-Amplifure Connections: Digidata

More information

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environment for microcontrollers (MCUs) from Renesas Technology

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

WinDriver PCI Quick Start Guide

WinDriver PCI Quick Start Guide WinDriver PCI/PCI Express/PCMCIA 5! WinDriver (1) DriverWizard (2) DriverWizard WinDriver (1) Windows 98/Me/2000/XP/Server 2003/Vista Windows CE.NET Windows Embedded CE v6.00 Windows Mobile 5.0/6.0 Linux

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

DocuWide 2051/2051MF 補足説明書

DocuWide 2051/2051MF 補足説明書 ëêèõ . 2 3 4 5 6 7 8 9 0 2 3 4 [PLOTTER CONFIGURATION] [DocuWide 2050/205 Version 2.2.0] [SERIAL] BAUD_RATE =9600 DATA_BIT =7 STOP_BIT = PARITY =EVEN HANDSHAKE =XON/XOFF EOP_TIMEOUT_VALUE =0 OUTPUT RESPONSE

More information

1

1 DVC512/DVC512GOLD 日本語取扱説明書 1 3 3 3 USB/DMX 4 6 6 SETUP 7 8 9 9 10 11 11 12 12 12 13 13 14 15 AUTO/LTP/HTP 16 17 17 18 19 19 Scene Audio BPM Sync 20 Audio BPM Sync21 21 22 22 23 24 Tricks & Tips25 3 XLR

More information

sx2000series_operate_j

sx2000series_operate_j 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 ON ON 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 5 6 7 8 ON 1 2 3 4 5 6 7 8 0123456789ABCDEF 0123456789ABCDEF 1 2

More information

DV-RA1000HD_Jpn(b)

DV-RA1000HD_Jpn(b) » D00927901A DV-RA1000HD High Definition Audio Master Recorder á á á è ì í ì ì í í ó è í è í í 2 TASCAM DV-RA1000HD ì ó ó ó ó á í ó ì ì í í ì ó í TASCAM DV-RA1000HD 3 4 TASCAM DV-RA1000HD TASCAM DV-RA1000HD

More information

Promise FastTrak100 Japanese Manual

Promise FastTrak100 Japanese Manual PROMISE TECHNOLOGY, INC. FastTrak100 TX/LP Series Ultra ATA/100 RAID Cards Japanese User s Manual October 5, 2001 TX/LP Series Ultra ATA/100 RAID Cards 0. 0.... 1 1.... 2 2.... 2... 3... 4 3.... 5...

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

MAX11014 EV.J

MAX11014 EV.J 19-4147; Rev 1; 9/08 PART MAX11014EVKIT+ TYPE EV Kit DESIGNATION QTY DESCRIPTION C1, C8, C11 C14, C18, C22, C23, C34 C43, C45, C51 C2, C7, C15, C16, C17, C21, C26, C27, C31, C33, C44, C46, C47, C48, C52,

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

microSTATION Operation guide

microSTATION Operation guide J 2 - ii iii iv 1 1 2 4 7 8 9 10 11 1 5 6 3 2 10 15 9 11 12 13 14 3 7 6 5 4 3 2 1 4 5 PROGRAM OSC 1 MS1 (Multisample) Drum Kit MS2 (Multisample) MS3 (Multisample) Insert / Master / Total Effect IFX 1 MFX

More information

MINI2440マニュアル

MINI2440マニュアル Open-JTAG LPC2388 + GCC + Eclipse http://www.csun.co.jp info@csun.co.jp Ver1.4 2009/7/31 LPC2388 OpenJTAG copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ...3 ARM...4...5...6 4.2 OpenJTAG...6 4.2...8

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

Word 2000 Standard

Word 2000 Standard .1.1 [ ]-[ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ] [OK] [ ] 1 .1.2 [ ]-[ ] [ ] [ ] [ [ ] [ ][ ] [ ] [ ] [ / ] [OK] [ ] [ ] [ ] [ ] 2 [OK] [ ] [ ] .2.1 [ ]-[ ] [F5] [ ] [ ] [] [ ] [ ] [ ] [ ] 4 ..1 [ ]-[ ] 5 ..2

More information

Version1.5

Version1.5 Version1.5 Version Date Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Version1.5 Test J/K/SE0_NAK USB-IF Test Procedure FS Upstream Signal Quality Test Receiver Sensitivity Test DG2040 Packet

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

MAP2496.PDF

MAP2496.PDF Audiophile 2496 24 Bit 96 khz 4 in/ 4 out PCI Digital Recording Interface with MIDI 2 M-AUDIO 3 PCI 1. INS1&2 IN1 IN2 2. OUTS1&2 OUT1 OUT2 3. 15 D-sub S/PDIF MIDI 4. S/PDIF S/PDIF DAT MD A/D S/PDIF 5.

More information

2. Available Hardware 内の Ludl MAC2000/5000 を選択し Install >> をクリックします Installed Devices へ Ludl MAC2000/5000 が登録されます Installed Devices に表示される内容は MAC5000

2. Available Hardware 内の Ludl MAC2000/5000 を選択し Install >> をクリックします Installed Devices へ Ludl MAC2000/5000 が登録されます Installed Devices に表示される内容は MAC5000 Meta Imaging Series 7.0 における Ludl 社 (LEP)MAC5000 の設定 1. Meta Imaging series 7.0 グループフォルダの Meta Imaging Series Administrator アイコンをクリックし, その後 Configure Hardware, Install System Devices へ進みます Install System

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

Microsoft Word - SMC移行ガイド_ doc

Microsoft Word - SMC移行ガイド_ doc High-Speed Motor Control Board for PCI High-Speed Motor Control Board for PCI Express SMC-4P(PCI) SMC-2P(PCI) 1...3 2...3 2.1... 3 2.2... 4 2.3... 6 2.4... 7 3...8 4 CCB-SMC1 CCB-SMC2...9 5...10 6 API-SMC(98/PC)API-SMC(WDM)...10

More information

IP1_ug.book

IP1_ug.book 1 1 2 Press to enter SETUP 1 2 1 Press to enter SETUP Enter passord[ ] Phoenix TrustedCore(tm) Setup Utility Main Advanced Security System Hardare

More information

A 既製のプロジェクトがある場合

A 既製のプロジェクトがある場合 2008 年 7 月 15 日 ワゴジャパン株式会社 1 使用機器 -Siemens S7-300:CPU315F-2 PN/DP プロセッサ /PROFINET スキャナ -Siemens SIMATIC Manager STEP 7 ソフトウェア バージョン V5.4-750-333 GSD ファイル :B754_V30.GSD(FW Ver.7 以降 ) -WAGO I/O ノード構成ノード

More information

アプリケーションノート AS-E402サンプルプログラム

アプリケーションノート AS-E402サンプルプログラム GR-SAKURA 用拡張ボード AS-E402 サンプルプログラム アプリケーションノート 1. 概要 GR-SAKURA AS-E402 CubeSuite+ 2. 用意するもの AS-E402 GR-SAKURA E1 DC GR-SAKURA AC E1 CubeSuite+ V2.01.00 3. サンプルプログラムの動作 AS-E402 LED SW1 LED1 SW2 LED2 LED3

More information

Version1.4

Version1.4 Version1.4 Version Date Version0.9 Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Disconnect Detect Test FS Signal Quality Test Packet Parameter Test Signal Quality Test L Signal Quality Test TDSUSB

More information

midicontrolsurfaces60_J.book

midicontrolsurfaces60_J.book Pro Tools Version 6.x for TDM or LE Systems on Windows or Macintosh 932911839-01 REV A MNL,MIDI CTRL SURF 6.1,JPN .............................. 1...........................................................

More information

owners.book

owners.book Network Equipment RTX1200 RTX800 2 3 4 5 6 7 8 9 10 bold face Enter Ctrl Tab BS Del Ctrl X Ctrl X Regular face 11 12 13 14 RTX1200 RTX1200 RTX1200 15 16 ), -. / 1 4 5 6 17 18 19 20 21 console character

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

困ったときのQ&A

困ったときのQ&A Q&A 1 2 3 Q&A Q&A Q&A Q&A Q&A Q&A Q&A Q&A Q&A Q&A Q&A Q&A LAN Q&A PC-Diagnostic 6 10 11 17 18 19 22 24 25 29 30 33 34 39 42 Microsoft Windows XP Professional Service Pack 2 Microsoft Windows XP Professional

More information

RT300i/RT140x/RT105i 取扱説明書

RT300i/RT140x/RT105i 取扱説明書 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Typewriter face RT105i RT300i RT140p RT140f RT140i RT140e RT105i RT300i 11 RARP 9600 bit/s 8 http://www.rtpro.yamaha.co.jp/ ftp.rtpro.yamaha.co.jp 12

More information

01/DSP-R995 (01-33)

01/DSP-R995 (01-33) REMOTE CONTROL NATURAL SOUND AV AMPLIFIER DSP-R995 NATURAL SOUND AV AMPLIFIER DSP-R995 CINEMA DSP DOLBY DIGITAL POWER INPUT SELECTOR VOLUME 16 2 12 28 8 STANDBY/ON EXT. DECODER 6 2 A/B/C/D/E 1 2 3 4 5

More information

MicroBlazeのチュートリアル

MicroBlazeのチュートリアル Xilinx 社 Spartan 3E Starter Kit を使った Micro Blaze のチュートリアル 九州工業大学 笹尾研究室 中原啓貴 1 はじめに 本ドキュメントは Xilinx Spartan3E Starter Kit と Embedded Development Kit( 以降 EDK) を用いた Micro Blaze のチュートリアルとなっています 環境 ISE 8.2i

More information

Quickstart Guide 3rd Edition

Quickstart Guide 3rd Edition 10 QNX QNX 1 2 3 4 5 QNX Momentics QNX Neutrino RTOS QNX Neutrino 6 7 8 QNX Neutrino 9 10 1 1 QNX Neutrino RTOS QNX Momentics Windows Vista Windows 2000 Windows XP Linux QNX Neutrino QNX Momentics CD http://www.qnx.co.jp/

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

III

III III IV 1 2 V 4 5 6 VI 1 1 2 1 2 1 4 1 2 5 1 6 1 2 7 1 8 2 2 10 1 2 11 2 12 1 2 1 2 14 q w e r 1 2 15 2 16 1 2 17 2 18 1 2 19 2 20 1 2 21 2 22 1 2 2 2 First Boot Device Floppy First Boot Device CD-ROM Second

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

ORB dac オーディオコントロールパネル 操 作 方 法 下 記 の ORB dac オーディオコントロールパネルをダブルクリックし Windows のサウンドコントロールの 設 定 または ASIO を 制 御 することができます 1 Windows サウンドコントロールの 設 定 ( Win

ORB dac オーディオコントロールパネル 操 作 方 法 下 記 の ORB dac オーディオコントロールパネルをダブルクリックし Windows のサウンドコントロールの 設 定 または ASIO を 制 御 することができます 1 Windows サウンドコントロールの 設 定 ( Win JADE casa DSD セレクションガイド ご 使 用 になる PC の OS Windows 弊 社 ホームページ JADE casa DSD のページから インストールマニュアルをご 覧 になり ORB dac ドライバーのインストールして 下 さい ORB オーディオコントロールパネル 操 作 方 法 P2 参 照 Windows media player PCM 再 生 方 法 ( 例

More information

RT300/140/105シリーズ 取扱説明書

RT300/140/105シリーズ 取扱説明書 REMOTE & BROADBAND ROUTER RT300i/RT140p/RT140f/RT140i RT140e/RT105p/RT105i/RT105e 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Console RT105i RT300i RT140p RT140f RT140i RT140e RT105p RT105i RT105e

More information

Microsoft Word - jpluginmanual.doc

Microsoft Word - jpluginmanual.doc TogoDocClient TogoDocClient... i 1.... 1 2. TogoDocClient... 1 2.1.... 1 2.1.1. JDK 5.0... 1 2.1.2. Eclipse... 1 2.1.3.... 1 2.1.4.... 2 2.2.... 3 2.2.1.... 3 2.2.2.... 4 2.3. Eclipse Commands... 5 2.3.1....

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

untitled

untitled TANGO Smart Console Nuendo 4 INTRODUCTION Welcome to Tango! Tango DAW DAW 30 60 Smart AV Web Tango Nuendo 4 Tango BIOS Tango Class A TANGO Smart Console - Nuendo 2 TABLE OF CONTENTS POWER CONNETION.4 SWITCHING

More information