untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1

2 FPGA 2 IF 3 IF BER BER i

3 A/D,D/A CPU Digital Down Conversion(DDC) Numerical Controlled Oscillator(NCO) Mixer Low Pass Filter(LPF) IF BER BER ii

4 42 43 iii

5 1 PHS LAN (SDR:Software Defined Radio) SDR 1 ( 1.1) 1.1: 1

6 SDR Channel 1 Channel 2 1.2: 3 [ch/hz/m 2 ] [bit/s/hz/m 2 ] 2

7 10 200g 1.3 (ASK:Amplitude Shift Keying) (FSK:Frequency Shift Keying) (PSK:Phase Shift Keying) A cos(ω c t) S ASK (t) = A m (t) cos(ω c t) (1.1) S FSK (t) = A cos((ω c + ω m (t))t) (1.2) S PSK (t) = A cos(ω c t + θ m (t)) (1.3) ASK FSK ASK PSK ASK FSK (C/N) 3

8 PSK AWGN (BER) 1.4 BER (QAM:Quadrature Amplitude Modulation) APSK PSK QAM PSK C/N BPSK QPSK π/4shiftdqpsk 16QAM Am(t)cos(ωct) Acos(ωct + θm(t) ) Acos((ωc + ωm (t)) t ) 1.3: 2 4

9 BER SNR (db) 1.4: BER FPGA FPGA(Field Programable Gate Array) FPGA SRAM SRAM 1.5 HDL(Hardware Discription Language) VHDL 5

10 IOB Logic Block 1.5: FPGA FPGA ASIC(Application Specific Integrated Circuit) LSI ASIC FPGA ASIC FPGA 2 IF 3 IF BER BER 4 6

11 2 (Anarog to Digital Convertion;ADC) A/D (Digital to Anarog Convertion;DAC) D/A IF(Intermediate Frequency) 2.1 A/D 4 A/D 2.1 RF(Radio Frequency) DBF IF IF A/D IF FPGA (DDC) I,Q(In-phase,Quadrature-phase) CPU(Central Processing Unit) PC 7

12 RF DBF IF A/D FPGA CPU PC 2.1: 2.2 A/D,D/A CPU : 8

13 A/D,D/A D/A FPGA RF DBF IF A/D CPU FPGA CPU PC 2.3: A/D,D/A A/D 2 D/A 2 DAC 4 ADC 1 DAC IF D/A 14bit A/D IF ADC 12bit IF FPGA DDC A/D D/A 40MHz 9

14 FPGA DA1 DA2 AD1 AD2 AD3AD4AD4 2.4: A/D,D/A CPU CPU Hitachi SH4 200MHz Transmission Control Protocol/Internet Protocol(TCP/IP) Ethernet port(100base-t) OS NetBSD PC

15 CPU FPGA 2.5: CPU RF IF IF RF 0 DC IF 2.6 (a) RF A/D I,Q 11

16 RF A/D (b) RF IF A/D Low-IF I,Q IF A/D (c) IF I,Q A/D I,Q A/D (a) RF A/D RF I FPGA CPU Q Baseband (b) RF Down Conversion IF A/D IF I FPGA CPU Q Baseband (c) RF Down Conversion IF I A/D A/D Q Baseband FPGA CPU 2.6: Digital Down Conversion(DDC) 40MHz 2.6 (b) Low-IF 10MHz IF 4 IF Numerical Controlled Oscillator(NCO) Mixer Low Pass Filter(LPF) Digital Down Conversion(DDC) I,Q DDC 12

17 2.7 A/D IF x IF (n) 90 x IF (n) =x I (n) cos ω c n + jx Q (n) sin ω c n (2.1) x I (n) x Q (n) x IF (n) I Q ω c IF x IF (n) [cos ω c n j sin ω c n] x IF (n) = x IF(n)[cos ω c n j sin ω c n] (2.2) = 1 2 [x I(n)+x I (n) cos 2ω c n jx I (n) sin 2ω c n jx Q (n)+x Q (n) sin 2ω c n + jx Q (n) cos 2ω c n] (2.3) x IF (n) LPF x LP F (n) = 1 2 [x I(n) jx Q (n)] (2.4) IF I,Q DDC 2.7 cos (ωc n) LPF xi (n) x(n) IF A/D NCO LPF - jsin (ωc n) xq (n) f c f c f s f c 2 f c 2.7: Digital Down Conversion 13

18 2.2.3 Numerical Controlled Oscillator(NCO) Mixer DDC 2.7 LO sin,cos NCO Mixer NCO 2.8 cos ωn = {1, 0, 1, 0}, sin ωn = {0, 1, 0, 1} Mixer IF I,Q CLK (4 IF) x(n) -1-1 x(n) 0 - x(n) x(n) 0 x(n) I Q x(n) cos( 2π n) 4 2π x(n) -sin( n) 4 x(n) x(n-3) -x(n-2) x(n-1) x(n) 2π x(n) cos( n) 4 2π x(n) -sin( n) 4 x(n-3) 0 -x(n-1) 0 0 -x(n-2) 0 x(n) 2.8: NCO Mixer Low Pass Filter(LPF) NCO Mixer I,Q 2.7 I,Q LPF LPF FIR(Finite Impulse Response) 8 8 y(n) = h(n)x(n) (2.5) n=1 14

19 y(n) x(n) h(n) FIR 2.9, Time Step Time Step 2.9: LPF 60 Time Step 40 Magnitude (db) 20 0 Time Step Frequency (MHz) 2.10: LPF 15

20 3 I,Q CPU IF IF 3.1 D/A IF D/A IF IF MATLAB bit 2bit S/P(Serial to Parallel) BPSK QPSK π/4dqpsk 16QAM LO IF DAC IF A/D ADC IF IF NCO LO I,Q LPF I,Q 16

21 CPU P/S(Parallel to Serial) BPSK S/P,P/S I,Q Binary Data Serial/Parallel (2bit) BPSK : Serial Maping QPSK π/4shiftdqpsk BPSK 16QAM Filtering (Up Sampling) NCO D/A Binary Data Parallel/Serial (2bit) BPSK : Serial Demaping Filtering A/D NCO 3.1: D/A IF 1 A/D D/A D/A A/D BPSK QPSK π/4dqpsk 16QAM 3.2 BPSK Sync (32 bit) Data (104 bit) QPSK π/4dqpsk Sync (32 bit) Sync (32 bit) Data (240 bit) Data (240 bit) 136 symbol 16 QAM Sync (32 bit) Data (512 bit) 3.2: 17

22 32bit 1 bit 136 ( 3.1) 3.1: bit, symbol, frame [bit/symbol] [bit/frame] [symbol/frame] BPSK QPSK π/4dqpsk QAM bit bit BPSK 3.3 1bit (0,1) (0,π) 2 QPSK 2bit (00,01,11,10) (π/4, 3π/4, 3π/4, π/4) π/4dqpsk 2bit (00,01,11,10) (π/4, 3π/4, 3π/4, π/4) QAM 1 4bit QPSK (00,01,11,10) 2bit I Q I,Q (-3,-1,1,3)

23 Q Q I -1 1 I : BPSK 3.4: QPSK Q I Q I : π/4dqpsk : 16QAM 00 19

24 (ISI:Inter Symbol Interference) ISI T ( 0) 2MHz 40MHz D/A 20 MATLAB α= I,Q Amplitude [2MHz] t (Sample) [40MHz] 3.7: 20

25 1 0.8 Amplitude Magnitude (db) Time (sample) 3.8: Freqency [MHz] 3.9: 21

26 3.1.5 BPSK BPSK 1bit (1,0) NRZ(NonReturn to Zero) (-1,1) Q bit BPSK I(n) IF x IF,BP SK (n) x IF,BP SK (n) = exp (jω c n) I(n) (3.1) = I(n) cos ω c n + ji(n) sin ω c n (3.2) IF 3.2 Re[x IF,BP SK (n)] = I(n) cos ω c n (3.3) (1, 0) NRZ (-1, 1) LPF I (n) cos (ωc n) xif (n) NRZ : NonReturn to Zero NCO 3.10: BPSK QPSK QPSK 3.11 QPSK S/P 2bit 2bit Gray 3.4 BPSK bit

27 3.2: QPSK 2bit Gray Gray π/ π/ π/ π/4 QPSK I,Q I(n)+jQ(n) IF x IF,QP SK (n) x IF,QP SK (n) = exp (jω c n) (I(n)+jQ(n)) (3.4) = I(n) cos ω c n Q(n) sin ω c n + j(i(n) sin ω c n + Q(n) cos ω c n) (3.5) IF 3.5 Re[x IF,QP SK (n)] = I(n) cos ω c n Q(n) sin ω c n (3.6) Gray (-1, 1) LPF I (n) cos (ωc n) (1, 0) S / P (-1, 1) LPF Q (n) NCO -sin (ωc n) xif (n) 3.11: QPSK π/4dqpsk π/4dqpsk 3.12 QPSK S/P 2bit 10 π/4 23

28 I,Q n θ n θ n = ± π 4, ±3 4 π (3.7) n θ n φ 0 10 m n =(0, 1, 2, 3) θ n θ n = θ n 1 + m n 2 π + φ 0 (3.8) = θ 0 + π n m i + n 2 i=1 4 π (3.9) = θ n + n 4 π (3.10) n 1 θ n = θ 0 + π m i + m n 2 i=1 2 π (3.11) = θ n 1 + π 2 m n (3.12) x(n) x(n) = exp (jθ n ) exp (j k π)=i(n)+jq(n) (3.13) 4 (3.14) I(n)+jQ(n) IF x IF,π/4 (n) 3.4 x IF,π/4 (n) = I(n) cos ω c n Q(n) sin ω c n + j(i(n) sin ω c n + Q(n) cos ω c n) (3.15) IF 3.6 Re[x IF,π/4 (n)] = I(n) cos ω c n Q(n) sin ω c n (3.16) 24

29 LPF I (n) cos (ωc n) (1, 0) S / P LPF NCO xif (n) Q (n) -sin (ωc n) 3.12: π/4dqpsk 16QAM 16QAM QPSK 2bit S/P QPSK I Q 1bit 2bit 1 16QAM I Q 2bit 4bit 1 I,Q 4 16 I,Q 3.3 Gray bit 2720 I,Q 3.3: 16QAM 2bit Gray 2bit 2bit QAM I,Q QPSK IF IF IF 3.4, 3.6 x IF,QAM (n) = I(n) cos ω c n Q(n) sin ω c n + j(i(n) sin ω c n + Q(n) cos ω c n) (3.17) 25

30 Re[x IF,QAM (n)] = I(n) cos ω c n Q(n) sin ω c n (3.18) Gray LPF I (n) cos (ωc n) (1, 0) S / P -3, -1, 1, 3) LPF Q (n) NCO -sin (ωc n) xif (n) 3.13: 16QAM FPGA FPGA IF Re[x IF (n)] MATLAB D/A 14bit Re[x IF (n)] Re[x IF (n)] = floor( Re[x IF(n)] (2 13 1) ) (3.19) M floor 0 MATLAB M Re[x IF (n)] Re[x IF (n)] M (2 13-1) A/D FPGA ADC IF 2.7 DDC I,Q 26

31 FPGA CPU D/A x Ref 1 Sync cor i r x i x i x i r cor i = (3.20) (x i x i ) (r r ) 3.20 cor i Ref x r x1 x2 Sync Data xi 27

32 3.14: Correlation Coefficient Sample Index 3.15: I,Q π/4dqpsk 1 16QAM I,Q 2bit 4bit 28

33 Q Q I I BPSK QPSK Q Q I I 01 π/4dqpsk 3.16: QAM IF 3.17 D/A A/D IF 29

34 ADC:40MHz IF 10MHz A/D FPGA CPU BPSK DDC FIFO Frame QPSK Sync π/4dqpsk 16QAM 2720 samples 136 symbols Decoding Decoding Decoding Decoding D/A DAC:40MHz Modulation Data PC 3.17: IF Demodulation Data 1 BPSK QPSK π/4dqpsk 16QAM IF D/A A/D IF DDC D/A 14bit MATLAB 3.18 D/A D/A IF A/D IF ADC DDC I,Q 3.18 I,Q 30

35 I,Q I,Q A/D,D/A 3.18: A/D,D/A 14bit IF A/D 12bit ADC 10MHz (NCO) QPSK LPF I,Q bit 31

36 Amplitude Time [sample] 3.19: NCO I,Q In-phase Quadrature Amplitude Time [sample] 3.20: LPF I,Q In-phase Quadrature 32

37 Amplitude (Quadrature) Amplitude (In-phase) 3.21: I,Q Amplitude (Quadrature) Amplitude (In-phase) 3.22: I,Q 33

38 3.3.1 D/A A/D D/A 20 BPSK QPSK π/4dqpsk 16QAM BER IF (AWGN:Additive White Gaussian Noise) DDC IF SNR per bit(e b /N 0 ) BER(Bit Error Rate) E b bit N 0 AWGN S T, bb IF D/A A/D DDC S R, bb 3.23: 34

39 Histogram 3.24: AWGN BER QAM A A D/A A/D 3.19 M M M max A/D I,Q

40 Q Q A I A I BPSK QPSK Q Q A I A I π/4dqpsk 16QAM 3.25: 36

41 BPSK QPSK π/4dqpsk 16QAM 3.26: A/D I,Q BER A E b /N 0 BER BPSK A/D BPSK I,Q x i,x q x i = u i + n i0, x q = 0 (3.21) 37

42 u i n i0 n i AWGN AD AWGN P s P N0 P N P s = E[u i 2 ]+E[n i0 2 ] (3.22) P N0 = E[n i0 2 ]=σ 0 2 P N = E[n i 2 ]=σ N 2 (3.23) (3.24) σ 0 σ N AWGN σ 2 = σ σ 2 N BPSK SNR SNR BPSK = P s P N0 P N0 + P N = A 2 σ 02 + σ N 2 = A2 σ 2 = 2E s N 0 (3.25) E s 1 E s = E b = A 2 (E b /N 0 ) BPSK (E b /N 0 ) BPSK = 1 2 SNR BPSK = 1 P s P N0 (3.26) 2 P N0 + P N 3.26 P s,p N 0 A/D I,Q E b /N 0 [db] P N 3.24 AWGN σ N A/D E b /N 0 [db] BER QPSK,π/4DQPSK BPSK x i = u i + n i0, x q = u q + n q0 (3.27) P s = E[u i 2 + u q 2 ]+E[n i0 2 + n q0 2 ] (3.28) P N0 = E[n i0 2 + n q0 2 ]=2σ 0 2 (3.29) P N = E[n i 2 + n 2 q ]=2σ N 2 (3.30) SNR QP SK = P s P N0 P N0 + P N = A 2 2σ 02 +2σ N 2 = A2 2σ 2 = E s N 0 (3.31) QPSK E s =2E b = A 2 (E b /N 0 ) QP SK (E b /N 0 ) QP SK = 1 2 SNR QP SK = 1 P s P N0 (3.32) 2 P N0 + P N 38

43 QPSK 3.32 BPSK P N 3.30 σ N E b /N 0 [db] BER π/4dqpsk QPSK 3.32 BER 16QAM 16QAM QPSK SNR SNR 16QAM = P s P N0 P N0 + P N = A 2 2σ 02 +2σ N 2 = A2 2σ 2 = E s N 0 (3.33) E s =4E b = A QAM SNR(E b /N 0 ) (E b /N 0 ) 16QAM = 1 4 SNR 16QAM = 1 P s P N0 (3.34) 4 P N0 + P N 16QAM 3.34 BPSK,QPSK P N P N = E[n 2 i ]=σ 2 N σ N E b /N 0 [db] BER BER 3.27 AWGN 3.27 BER P N,BPSK (γ) = 1 2 erfc( γ) (3.35) P N,QP SK (γ) = 1 2 erfc( γ) (3.36) P N,π/4DQP SK (γ) = erfc( γ) (3.37) P N,16QAM (γ) = erfc( 5 γ) erfc2 ( γ) (3.38) 5 γ = A 2 /2σ 2 1bit erfc erfc(x) = 2 e t2 dt (3.39) π 3.27 BPSK QPSK π/4dqpsk 16QAM BER x 39

44 AWGN BER BER Theory BPSK Measured BPSK Theory QPSK Measured QPSK Theory π/4 DQPSK Measured π/4 DQPSK Theory 16QAM Measured 16QAM SNR per bit (Eb/No) (db) BER 3.27: Bit Error Rate 40

45 4 SDR A/D,D/A BOX A/D D/A FPGA CPU Low-IF IF DDC I,Q A/D,D/A BOX IF D/A BER BER BPSK QPSK π/4dqpsk 16QAM BER AWGN BER 4 IF RF 41

46 D2 (Minseok Kim), 42

47 [1], Blind B Vol. J84-B No.7 pp [2],,. CS,, Vol. 98 Num. 650 pp ( ) [3],,. SAT,, Vol. 101 Num. 540 pp ( ) [4],,. DSP,, Vol. 97 Num. 582 pp ( ) [5] S Mukthavaram, Design and FPGA Implementation of an Adaptive Demodulator,B.S.E.E Osmania University, Hyderabad, India, 1997 [6] S.S. JENG, S.M. CHANG, B.S. LAN, Multi-Mode Digital IF Downconverter for Software Radio Application,IEICI TRANS. COMMUN., VOL.E86-B, NO.12, pp , DEC [7], A Study of Implementation of Digital Signal Processing for Adaptive Array Antenna, [8], [9], [10],,

48 [11], [12],,MWE 2003 Microwave Workshop Digest. [13], [14], [15], [16] Inter face, CQ,

スライド タイトルなし

スライド タイトルなし (LNA) (LNA) (PA) ASK FSK PSK BER Bit Error Rate/ratio QPSK GMSK QAM OFDM ASK FSK PSK ASK(Amplitude-shift keying) e( t) = S( t)cos( ω t + θ ) c AM S(t) [+1,0] [+1/2, 1/2] 1 1 2 S(t) 0 1 2 e(t) C O B A E

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

(n ) 1. Ungerboe TCM (trellis oded modulation) 3 [4] (i ) (ii) (iii).1 TV [,3]. MPEG PCM 1/10. 3 (B.B.) 1/ B.B. (i ) AC AMI (ii) ( ) (n ) (iii) NRZ (i

(n ) 1. Ungerboe TCM (trellis oded modulation) 3 [4] (i ) (ii) (iii).1 TV [,3]. MPEG PCM 1/10. 3 (B.B.) 1/ B.B. (i ) AC AMI (ii) ( ) (n ) (iii) NRZ (i Fundamentals of Modulation and Demodulation Tehniques ----- Observations in the time and frequeny domains ----- Yoihi Saito Waayama University Abstra: This tutorial paper presents fundamental aspes of

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> 通信方式第 2 版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/072662 このサンプルページの内容は, 第 2 版発行当時のものです. i 2 2 2 2012 5 ii,.,,,,,,.,.,,,,,.,,.,,..,,,,.,,.,.,,.,,.. 1990 5 iii 1 1

More information

1 s(t) ( ) f c : A cos(2πf c t + ϕ) (AM, Amplitude Modulation) (FM, Frequency Modulation) (PM, Phase Modulation) 2

1 s(t) ( ) f c : A cos(2πf c t + ϕ) (AM, Amplitude Modulation) (FM, Frequency Modulation) (PM, Phase Modulation) 2 (Communication and Network) 1 1 s(t) ( ) f c : A cos(2πf c t + ϕ) (AM, Amplitude Modulation) (FM, Frequency Modulation) (PM, Phase Modulation) 2 1.1 AM s(t) : A(αs(t) + 1) cos 2πf c t A, α : s(t) = cos

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

main.dvi

main.dvi 5 IIR IIR z 5.1 5.1.1 1. 2. IIR(Infinite Impulse Response) FIR(Finite Impulse Response) 3. 4. 5. 5.1.2 IIR FIR 5.1 5.1 5.2 104 5. IIR 5.1 IIR FIR IIR FIR H(z) = a 0 +a 1 z 1 +a 2 z 2 1+b 1 z 1 +b 2 z 2

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

main.dvi

main.dvi 6 FIR FIR FIR FIR 6.1 FIR 6.1.1 H(e jω ) H(e jω )= H(e jω ) e jθ(ω) = H(e jω ) (cos θ(ω)+jsin θ(ω)) (6.1) H(e jω ) θ(ω) θ(ω) = KωT, K > 0 (6.2) 6.1.2 6.1 6.1 FIR 123 6.1 H(e jω 1, ω

More information

untitled

untitled LMS FPGA 18 2 28 0244031 MMSE( Minimum Mean Square Error) MMSE LMS( Least Mean Square), RLS( Recursive Least Mean) ( ) LMS, N-LMS( Normalized - LMS) FPGA( Field Programmable Gate Array) FPGA, 2 FPGA N-LMS

More information

5988_4096JA.qxd

5988_4096JA.qxd Agilent Infiniium 89601A Product Note Agilent Infiniium 1.......................................................................... 3 1.1 89601A VSA................................................... 3

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

IEEE ZigBee 2.4GHz 250kbps O-QPSK DSSS Bluetooth IEEE GHz 3Mbps G-FSK FHSS PC LAN IEEE b 2.4GHz 11Mbps CCK DSSS LAN LAN IEE

IEEE ZigBee 2.4GHz 250kbps O-QPSK DSSS Bluetooth IEEE GHz 3Mbps G-FSK FHSS PC LAN IEEE b 2.4GHz 11Mbps CCK DSSS LAN LAN IEE SMK SMK Corporation Kenji OTSUKA AV AV RF 2.4GHz ISM 2.4GHz ISM 2.4GHz RF IEEE 802.15.4 ZigBee 2.4GHz 250kbps O-QPSK DSSS Bluetooth IEEE 802.15.1 2.4GHz 3Mbps G-FSK FHSS PC LAN IEEE 802.11b 2.4GHz 11Mbps

More information

000-OFDM前付き.indd

000-OFDM前付き.indd まえがき OFDM orthogonal frequency division multiplexing LAN OFDM OFDM MATLAB OFDM MATLAB OFDM MATLAB OFDM OFDM NHK NHK NHK 目 次... ASK.. FSK.. PSK ディジタル変復調技術の基礎.. MATLAB. BER. 次世代モバイル通信.. MATLAB. OFDM.. OFDM..

More information

untitled

untitled 1 SS 2 2 (DS) 3 2.1 DS................................ 3 2.2 DS................................ 4 2.3.................................. 4 2.4 (channel papacity)............................ 6 2.5........................................

More information

CWContinuous Wave CW 1.1.2 XCT(Computed Tomography) MRI Magnetic Resonance Imaging)PET(Positron Emission Tomography) XCT 2

CWContinuous Wave CW 1.1.2 XCT(Computed Tomography) MRI Magnetic Resonance Imaging)PET(Positron Emission Tomography) XCT 2 1.1 1.1.1 RadarRadio Detection and Ranging 1960 1 10 1 CWContinuous Wave CW 1.1.2 XCT(Computed Tomography) MRI Magnetic Resonance Imaging)PET(Positron Emission Tomography) XCT 2 3 XCTMRI XCTMRI XCT /10

More information

,, 2. Matlab Simulink 2018 PC Matlab Scilab 2

,, 2. Matlab Simulink 2018 PC Matlab Scilab 2 (2018 ) ( -1) TA Email : ohki@i.kyoto-u.ac.jp, ske.ta@bode.amp.i.kyoto-u.ac.jp : 411 : 10 308 1 1 2 2 2.1............................................ 2 2.2..................................................

More information

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB (DLL) UWB DLL 1. UWB FCC (Federal Communications

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

( ) ( ) ( ) ( ) ( )

( ) ( ) ( ) ( ) ( ) NAIST-IS-MT0751044 2.4GHz W-LAN 2008 8 20 ( ) ( ) ( ) ( ) ( ) 2.4GHz W-LAN ISM(Industrial, Sientific and Medical) 2.4GHz IEEE802.11b/gW-LAN 2.4GHz W-LAN 2.4GHz ISM (Industry Scientific and Medical) ISM

More information

Keysight MIMO MIMO Cluster n Path n σ n, AoA σ n, AoD Θ n, AoA MS/UE Array Boresight Rx0 Tx0 Θ n, AoD LOS BS Array Boresight Θ n+1, AoA Rx1 Tx1 Path n

Keysight MIMO MIMO Cluster n Path n σ n, AoA σ n, AoD Θ n, AoA MS/UE Array Boresight Rx0 Tx0 Θ n, AoD LOS BS Array Boresight Θ n+1, AoA Rx1 Tx1 Path n Keysight MIMO MIMO Cluster n Path n σ n, AoA σ n, AoD Θ n, AoA MS/UE Array Boresight Rx0 Tx0 Θ n, AoD LOS BS Array Boresight Θ n+1, AoA Rx1 Tx1 Path n+1 Cluster n+1 ... 3 1. MIMO... 3 1.1 MIMO 1.2 MIMO

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

CDMA (high-compaciton multicarrier codedivision multiple access: HC/MC-CDMA),., HC/MC-CDMA,., 32.,, 64. HC/MC-CDMA, HC-MCM, i

CDMA (high-compaciton multicarrier codedivision multiple access: HC/MC-CDMA),., HC/MC-CDMA,., 32.,, 64. HC/MC-CDMA, HC-MCM, i 24 Investigation on HC/MC-CDMA Signals with Non-Uniform Frequency Intervals 1130401 2013 3 1 CDMA (high-compaciton multicarrier codedivision multiple access: HC/MC-CDMA),., HC/MC-CDMA,., 32.,, 64. HC/MC-CDMA,

More information

MainOfManuscript.dvi

MainOfManuscript.dvi OFDM A Study on Transmission Characteristics of OFDM with Directional Antenna 17 2 7 03GD150 LAN OFDM OFDM OFDM 1 i 1 1 1.1...................................... 1 1.2 OFDM............. 2 1.3...............................

More information

GD152

GD152 15 1 31 01GD152 MUSIC T T CdmaOne 2 i 1 1 2 6 2.1... 6 2.1.1... 6 2.1.2... 8 2.2 Beamformer... 9 2.3 MUSIC... 10 3 T 15 3.1... 15 3.2... 18 4 20 4.1... 20 4.2... 21 5 25 5.1... 25 5.2... 29 5.2.1 1...

More information

433 MHz 950 MHz 2.45 GHz ISO/IEC ISO/IEC (M2) EPC C1 G2 950 MHz 2.45 GHz 950 MHz 2.45 GHz ISO/IEC (Type-A, B, C) ISO/IEC-18000

433 MHz 950 MHz 2.45 GHz ISO/IEC ISO/IEC (M2) EPC C1 G2 950 MHz 2.45 GHz 950 MHz 2.45 GHz ISO/IEC (Type-A, B, C) ISO/IEC-18000 RFID 41 RFID IC Summary IC RFID LF Low FrequencyHF High FrequencyUHF Ultra High Frequency Suica PASMO HF 13.56 MHz UHF 950 MHz Key words RFID The Subject and Technology of Radio Frequency Identification

More information

回路実習

回路実習 100-720 Oscilloscope Experiment Circuit 440 441 100-273 Sensor Experiment Apparatus 100-040 Potentiometer Circuit Experiment Apparatus 100-150 Direct Current Circuit Practice Apparatus 442 100-010 Resistance

More information

AD

AD AD 1110800673 2015 2 25 1 1 1.1..................................... 1 1.2................................... 3 2 4 2.1....................... 4 2.2 TDC................................ 5 2.2.1.....................................

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

(iii) 0 V, x V, x + 0 = x. 0. (iv) x V, y V, x + y = 0., y x, y = x. (v) 1x = x. (vii) (α + β)x = αx + βx. (viii) (αβ)x = α(βx)., V, C.,,., (1)

(iii) 0 V, x V, x + 0 = x. 0. (iv) x V, y V, x + y = 0., y x, y = x. (v) 1x = x. (vii) (α + β)x = αx + βx. (viii) (αβ)x = α(βx)., V, C.,,., (1) 1. 1.1...,. 1.1.1 V, V x, y, x y x + y x + y V,, V x α, αx αx V,, (i) (viii) : x, y, z V, α, β C, (i) x + y = y + x. (ii) (x + y) + z = x + (y + z). 1 (iii) 0 V, x V, x + 0 = x. 0. (iv) x V, y V, x + y

More information

- 1-150 khz18 GHz CATV MATV IEC 60728-2 A B (ITE) 2 (3) 4.1 (1) 3 (CISPR) 1 (CISPR 16-1-1 2.1 2006) (CISPR 16-1-2 1 2003 12004) (CISPR 16-1-3 2.0 2004) (CISPR 16-1-4 2.0 2007) 30 MHz 1000 MHz (CISPR 16-1-5

More information

デジタル無線 測定ソリューション 製品紹介

デジタル無線 測定ソリューション 製品紹介 Product Introduction デジタル無線測定ソリューション シグナルアナライザ MS2690A/MS2691A/MS2692A/MS2840A/MS2830A ベクトル変調解析ソフトウェア MX269017A ベクトル信号発生器 MS269xA-020, MS2840A-020/021, MS2830A-020/021 TDMA IQproducer MX269902A Version

More information

J.qxd

J.qxd IQ 2 I/Q IQ IQ IQ IQ 3 IQ 4 5 6 I Q 7 IQ 0 deg 8 IQ I QI I Q Q Q Q { I Q { I I 9 I/QI/QI/Q IQ IQ I/Q Q Σ I IQ I Q 10 I/Q I/Q I/Q IQ IQ 11 π 12 01 00 11 10 13 I/Q I QI I/Q IQ 14 π QI π I/QI Q IQ IQ π 15

More information

Mott散乱によるParity対称性の破れを検証

Mott散乱によるParity対称性の破れを検証 Mott Parity P2 Mott target Mott Parity Parity Γ = 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 t P P ),,, ( 3 2 1 0 1 γ γ γ γ γ γ ν ν µ µ = = Γ 1 : : : Γ P P P P x x P ν ν µ µ vector axial vector ν ν µ µ γ γ Γ ν γ

More information

デジタル通信を支える無線技術

デジタル通信を支える無線技術 Aug. 02, 2008 Copyright 2008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved. 2 1. LAN 2. 3. LAN 4. 802.11 3 4 1. LAN 2. 3. LAN 4. 802.11 5 WMAN 50Km WiMax WLAN 100m 802.11 WPAN 10m ZigBee Bluetooth

More information

1 12 ( )150 ( ( ) ) x M x 0 1 M 2 5x 2 + 4x + 3 x 2 1 M x M 2 1 M x (x + 1) 2 (1) x 2 + x + 1 M (2) 1 3 M (3) x 4 +

1 12 ( )150 ( ( ) ) x M x 0 1 M 2 5x 2 + 4x + 3 x 2 1 M x M 2 1 M x (x + 1) 2 (1) x 2 + x + 1 M (2) 1 3 M (3) x 4 + ( )5 ( ( ) ) 4 6 7 9 M M 5 + 4 + M + M M + ( + ) () + + M () M () 4 + + M a b y = a + b a > () a b () y V a () V a b V n f() = n k= k k () < f() = log( ) t dt log () n+ (i) dt t (n + ) (ii) < t dt n+ n

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

訂正目次.PDF

訂正目次.PDF 1 1-1.SAW SAW 1 SAW RF IF SAW GHz SAW v 0 1-1.SAW SAWSurface Acoustic Wave SAW m 100ppm 5 SAW 1-1 IDTInterdigital Transducer IDT f SAW V0 IDT SAW f V0/ 1-2.SAW SAW 10MHz GHz 1.5GHz RF 1.5GHz 130MHz IF

More information

( ) 2002 1 1 1 1.1....................................... 1 1.1.1................................. 1 1.1.2................................. 1 1.1.3................... 3 1.1.4......................................

More information

スライド 1

スライド 1 2011 年 10 月 4 日,SCOPE 第 7 回成果発表会, 幕張メッセ デジタルコヒーレント光通信技術の 研究開発 Research on Digital Coherent Optical Communication Systems 菊池和朗 Kazuro Kikuchi 東京大学大学院工学系研究科電気系工学専攻 Department of Electrical Engineering and

More information

ohgane

ohgane Signal Detection Based on Belief Propagation in a Massive MIMO System Takeo Ohgane Hokkaido University, Japan 28 October 2013 Background (1) 2 Massive MIMO An order of 100 antenna elements channel capacity

More information

4 4 4 a b c d a b A c d A a da ad bce O E O n A n O ad bc a d n A n O 5 {a n } S n a k n a n + k S n a a n+ S n n S n n log x x {xy } x, y x + y 7 fx

4 4 4 a b c d a b A c d A a da ad bce O E O n A n O ad bc a d n A n O 5 {a n } S n a k n a n + k S n a a n+ S n n S n n log x x {xy } x, y x + y 7 fx 4 4 5 4 I II III A B C, 5 7 I II A B,, 8, 9 I II A B O A,, Bb, b, Cc, c, c b c b b c c c OA BC P BC OP BC P AP BC n f n x xn e x! e n! n f n x f n x f n x f k x k 4 e > f n x dx k k! fx sin x cos x tan

More information

撮 影

撮 影 DC cathode ray tube, 2.2 log log log + log log / / / A method determining tone conversion characteristics of digital still camera from two pictorial images Tone conversion characteristic Luminance

More information

85 4

85 4 85 4 86 Copright c 005 Kumanekosha 4.1 ( ) ( t ) t, t 4.1.1 t Step! (Step 1) (, 0) (Step ) ±V t (, t) I Check! P P V t π 54 t = 0 + V (, t) π θ : = θ : π ) θ = π ± sin ± cos t = 0 (, 0) = sin π V + t +V

More information

Real AdaBoost HOG 2009 3 A Graduation Thesis of College of Engineering, Chubu University Efficient Reducing Method of HOG Features for Human Detection based on Real AdaBoost Chika Matsushima ITS Graphics

More information

1 7 ω ω ω 7.1 0, ( ) Q, 7.2 ( Q ) 7.1 ω Z = R +jx Z 1/ Z 7.2 ω 7.2 Abs. admittance (x10-3 S) RLC Series Circuit Y R = 20 Ω L = 100

1 7 ω ω ω 7.1 0, ( ) Q, 7.2 ( Q ) 7.1 ω Z = R +jx Z 1/ Z 7.2 ω 7.2 Abs. admittance (x10-3 S) RLC Series Circuit Y R = 20 Ω L = 100 7 7., ) Q, 7. Q ) 7. Z = R +jx Z / Z 7. 7. Abs. admittance x -3 S) 5 4 3 R Series ircuit Y R = Ω = mh = uf Q = 5 5 5 V) Z = R + jx 7. Z 7. ) R = Ω = mh = µf ) 7 V) R Z s = R + j ) 7.3 R =. 7.4) ) f = π.

More information

Keysight Technologies LTE規格に準拠したトランスミッタのACLR測定

Keysight Technologies LTE規格に準拠したトランスミッタのACLR測定 Keysight Technologies LTE ACLR Application Note IP LTE 3GPP LTE LTE 1 LTE ACLR LTE Keysight X PXA/MXA/EXA LTE Keysight MXG LTE LTERF LTE 6 1.4 20 MHz OFDMA SC-FDMA 2 FDD TDD MIMO LTE CDMA RF LTE 1 LTE

More information

untitled

untitled 2: ()? 2 UTP ()!! SW-HUB 3 Point-to-Point Broadcast Multiple Access Non-Broadcast Multiple Access Point-to-Point 2 MAC Examples Node A Node B 1 Broadcast Multiple Access () MAC Node A Node B Node C Ethernet

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f 12 ( TV TV, CATV, CS CD, DAT, DV, DVD ( 12.1 12.1.1 1 1: T (sec f (Hz T= 1 f P a = N/m 2 1.013 10 5 P a 1 10 5 1.00001 0.99999 2,3 1 2: 3: 12.1.2 A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz

More information

pp d 2 * Hz Hz 3 10 db Wind-induced noise, Noise reduction, Microphone array, Beamforming 1

pp d 2 * Hz Hz 3 10 db Wind-induced noise, Noise reduction, Microphone array, Beamforming 1 72 12 2016 pp. 739 748 739 43.60.+d 2 * 1 2 2 3 2 125 Hz 0.3 0.8 2 125 Hz 3 10 db Wind-induced noise, Noise reduction, Microphone array, Beamforming 1. 1.1 PSS [1] [2 4] 2 Wind-induced noise reduction

More information

Microsoft Word - 信号処理3.doc

Microsoft Word - 信号処理3.doc Junji OHTSUBO 2012 FFT FFT SN sin cos x v ψ(x,t) = f (x vt) (1.1) t=0 (1.1) ψ(x,t) = A 0 cos{k(x vt) + φ} = A 0 cos(kx ωt + φ) (1.2) A 0 v=ω/k φ ω k 1.3 (1.2) (1.2) (1.2) (1.1) 1.1 c c = a + ib, a = Re[c],

More information

熊本県数学問題正解

熊本県数学問題正解 00 y O x Typed by L A TEX ε ( ) (00 ) 5 4 4 ( ) http://www.ocn.ne.jp/ oboetene/plan/. ( ) (009 ) ( ).. http://www.ocn.ne.jp/ oboetene/plan/eng.html 8 i i..................................... ( )0... (

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

スライド 1

スライド 1 ミリ波帯における注入同期を用いた I/Q ミスマッチ補償手法 近藤智史, 河合誠太郎, 岡田健一, 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤 岡田研究室 発表内容 2 研究背景 目標 従来技術と課題 注入同期を用いた補償手法 理論検討 測定結果 結論 研究背景 3 Australia Canada, USA Japan Europe 57 Frequency [GHz] 66 59.4

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k 63 3 Section 3.1 g 3.1 3.1: : 64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () 3 9.8 m/s 2 3.2 3.2: : a) b) 5 15 4 1 1. 1 3 14. 1 3 kg/m 3 2 3.3 1 3 5.8 1 3 kg/m 3 3 2.65 1 3 kg/m 3 4 6 m 3.1. 65 5

More information

i 1 40 ii Grid Dip Meter 3 10kc 1000Mc Grid Dip Meter (RF) Q Grid Dip Meter Grid Dip Meter GDM Grid Dip Meter i ii 1. Grid Dip Meter 1 1.1................... 1 1.2............... 2 1.3............... 5

More information

Operation_test_of_SOFIST

Operation_test_of_SOFIST ILC :SOFIST 2 29 1 18 SOI ILC SOI SOFIST SOFISTver.1 SOFISTver.1 SOFIST SOFISTver.1 S/N BPW 1 1 4 1.1............... 4 1.1.1... 4 1.1.2... 5 1.2 ILC... 6 1.2.1 ILC... 6 1.2.2 ILD...........................

More information

9 5 ( α+ ) = (α + ) α (log ) = α d = α C d = log + C C 5. () d = 4 d = C = C = 3 + C 3 () d = d = C = C = 3 + C 3 =

9 5 ( α+ ) = (α + ) α (log ) = α d = α C d = log + C C 5. () d = 4 d = C = C = 3 + C 3 () d = d = C = C = 3 + C 3 = 5 5. 5.. A II f() f() F () f() F () = f() C (F () + C) = F () = f() F () + C f() F () G() f() G () = F () 39 G() = F () + C C f() F () f() F () + C C f() f() d f() f() C f() f() F () = f() f() f() d =

More information

IMT-Advanced Testbed Development for IMT-Advanced Radio Experiments Toshinori SUZUKI, Noriaki MIYAZAKI, and Satoshi KONISHI IMT-Advanced 3 IMT-2000 IT

IMT-Advanced Testbed Development for IMT-Advanced Radio Experiments Toshinori SUZUKI, Noriaki MIYAZAKI, and Satoshi KONISHI IMT-Advanced 3 IMT-2000 IT IMT-Advanced Testbed Development for IMT-Advanced Radio Experiments Toshinori SUZUKI, Noriaki MIYAZAKI, and Satoshi KONISHI IMT-Advanced 3 IMT-2000 ITU-R IMT-Advanced 100 Mbit/s 1Gbit/s IMT-Advanced IMT-Advanced

More information

1. ( ) 1.1 t + t [m]{ü(t + t)} + [c]{ u(t + t)} + [k]{u(t + t)} = {f(t + t)} (1) m ü f c u k u 1.2 Newmark β (1) (2) ( [m] + t ) 2 [c] + β( t)2

1. ( ) 1.1 t + t [m]{ü(t + t)} + [c]{ u(t + t)} + [k]{u(t + t)} = {f(t + t)} (1) m ü f c u k u 1.2 Newmark β (1) (2) ( [m] + t ) 2 [c] + β( t)2 212 1 6 1. (212.8.14) 1 1.1............................................. 1 1.2 Newmark β....................... 1 1.3.................................... 2 1.4 (212.8.19)..................................

More information

indd

indd 8 デジタルケーブルテレビ関連技術およびサービス動向 宮地悟史 KDDI( 株 ) ケーブルテレビ概要 1953 2 1955 Community Antenna Television Common Antenna Television CATV CATV CATV BS CATV サービス動向 全体概要 2010 9 図 -1 1 4,451 5,336 83% 4,890 91% 2,371 44%

More information

AD5934 R I MCLK AVDD DVDD DAC R OUT VOUT SCL SDA Z(ω) AD5934 RFB LPF VDD/2 VIN AGND DGND 5325-1 SDA t 9 t 3 t 1 t 11 t 4 SCL t 4 t6 t 2 t 5 t 7 t 1 t 8 5325-2 NC 1 NC 2 NC 3 RFB 4 VIN 5 VOUT 6 NC 7

More information

untitled

untitled ( ) (mm) (GHz)=300( ) 30 300GHz=1 10mm ( 2GHz2Mbps) Gbps= Mbps ( m),? S G=P/Pi30dB=1000 Gm=4πS/λ 2, S= 80λ 2 Gm=30dB η=g/gm, S= 80λ 2,G=27dB η=50% (GHz) 80 70 60 50 40 30 20 10 16 19 22 25 28 31 34 37

More information

it-ken_open.key

it-ken_open.key 深層学習技術の進展 ImageNet Classification 画像認識 音声認識 自然言語処理 機械翻訳 深層学習技術は これらの分野において 特に圧倒的な強みを見せている Figure (Left) Eight ILSVRC-2010 test Deep images and the cited4: from: ``ImageNet Classification with Networks et

More information

Keysight 89601B/BN-AYA ベクトル変調解析 VSAソフトウェア

Keysight 89601B/BN-AYA ベクトル変調解析 VSAソフトウェア Keysight 89601B/BN-AYA 89600 VSA Technical Overview PSK QPSK QAM FSK VSB APSK SOQPSK 35 GSM/EDGE/EDGE Evolution Wi-SUN ZigBee Bluetooth 15 EVM IQ SCPI.NET 02 Keysight 89601B/BN-AYA 89600 VSA Technical

More information

() n C + n C + n C + + n C n n (3) n C + n C + n C 4 + n C + n C 3 + n C 5 + (5) (6 ) n C + nc + 3 nc n nc n (7 ) n C + nc + 3 nc n nc n (

() n C + n C + n C + + n C n n (3) n C + n C + n C 4 + n C + n C 3 + n C 5 + (5) (6 ) n C + nc + 3 nc n nc n (7 ) n C + nc + 3 nc n nc n ( 3 n nc k+ k + 3 () n C r n C n r nc r C r + C r ( r n ) () n C + n C + n C + + n C n n (3) n C + n C + n C 4 + n C + n C 3 + n C 5 + (4) n C n n C + n C + n C + + n C n (5) k k n C k n C k (6) n C + nc

More information

1990 IMO 1990/1/15 1:00-4:00 1 N N N 1, N 1 N 2, N 2 N 3 N 3 2 x x + 52 = 3 x x , A, B, C 3,, A B, C 2,,,, 7, A, B, C

1990 IMO 1990/1/15 1:00-4:00 1 N N N 1, N 1 N 2, N 2 N 3 N 3 2 x x + 52 = 3 x x , A, B, C 3,, A B, C 2,,,, 7, A, B, C 0 9 (1990 1999 ) 10 (2000 ) 1900 1994 1995 1999 2 SAT ACT 1 1990 IMO 1990/1/15 1:00-4:00 1 N 1990 9 N N 1, N 1 N 2, N 2 N 3 N 3 2 x 2 + 25x + 52 = 3 x 2 + 25x + 80 3 2, 3 0 4 A, B, C 3,, A B, C 2,,,, 7,

More information

AD9833: 低消費電力 20 mW 2.3 〜 5.5 V プログラマブル波形発生器

AD9833: 低消費電力 20 mW 2.3 〜 5.5 V プログラマブル波形発生器 2mW 2.3 5.5V AD9833 3V 2mW 12.5MHz 28 25MHz.1Hz 2.3 5.5V 3SPI 415 1MSOP TDR AD9833 28 25MHz.1Hz1MHz AD9833.4Hz AD9833 3 4MHz DSP 2.3 5.5V AD9833 SLEEP DAC AD98331 MSOP AGND DGND VDD CAP/2.5V MCLK AVDD/

More information

main.dvi

main.dvi FDTD S A Study on FDTD Analysis based on S-Parameter 18 2 7 04GD168 FDTD FDTD S S FDTD S S S S FDTD FDTD i 1 1 1.1 FDTD.................................... 1 1.2 FDTD..................... 3 2 S 5 2.1 FDTD

More information

untitled

untitled S ANIRTAO ANIR 1. 1.1. HAWAII-2 Rockwell Scientific Company(RSC: Teledyne) HAWAII-2 HAWAII-2 20482048 HgCdTe HAWAII-2 1 HAWAII-2 1 1 HAWAII-2 Rockwell Parameter Measured Performance Units Detector Interface

More information

橡実験IIINMR.PDF

橡実験IIINMR.PDF (NMR) 0 (NMR) 2µH hω ω 1 h 2 1 1-1 NMR NMR h I µ = γµ N 1-2 1 H 19 F Ne µ = Neh 2mc ( 1) N 2 ( ) I =1/2 I =3/2 I z =+1/2 I z = 1/2 γh H>0 2µH H=0 µh I z =+3/2 I z =+1/2 I z = 1/2 I z = 3/2 γh H>0 2µH H=0

More information

http://www.ike-dyn.ritsumei.ac.jp/ hyoo/wave.html 1 1, 5 3 1.1 1..................................... 3 1.2 5.1................................... 4 1.3.......................... 5 1.4 5.2, 5.3....................

More information

LLG-R8.Nisus.pdf

LLG-R8.Nisus.pdf d M d t = γ M H + α M d M d t M γ [ 1/ ( Oe sec) ] α γ γ = gµ B h g g µ B h / π γ g = γ = 1.76 10 [ 7 1/ ( Oe sec) ] α α = λ γ λ λ λ α γ α α H α = γ H ω ω H α α H K K H K / M 1 1 > 0 α 1 M > 0 γ α γ =

More information

impulse_response.dvi

impulse_response.dvi 5 Time Time Level Level Frequency Frequency Fig. 5.1: [1] 2004. [2] P. A. Nelson, S. J. Elliott, Active Noise Control, Academic Press, 1992. [3] M. R. Schroeder, Integrated-impulse method measuring sound

More information

untitled

untitled + From Tradeoffs of Receive and Transmit Equalization Architectures, ICC006,Bryan Casper, Intel Labs Transmitter Receiver 0 magnitude (db) 0 0 30 40 50 60 0 4 frequency (GHz). Receiver Transmitter FFE

More information

AC Modeling and Control of AC Motors Seiji Kondo, Member 1. q q (1) PM (a) N d q Dept. of E&E, Nagaoka Unive

AC Modeling and Control of AC Motors Seiji Kondo, Member 1. q q (1) PM (a) N d q Dept. of E&E, Nagaoka Unive AC Moeling an Control of AC Motors Seiji Kono, Member 1. (1) PM 33 54 64. 1 11 1(a) N 94 188 163 1 Dept. of E&E, Nagaoka University of Technology 163 1, Kamitomioka-cho, Nagaoka, Niigata 94 188 (a) 巻数

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

5988_3484JA.ppt

5988_3484JA.ppt Part 2: 1 1 Part 2: 2 2 (BTS) (MS) Part 2: 3 3 Part 2: 4 4 6 26.666 ms PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q PN-I/ PN-Q

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

時間インタリーブ方式ADCシステム向け高精度クロックの生成

時間インタリーブ方式ADCシステム向け高精度クロックの生成 LMK03000,LMK03001 Literature Number: JAJA429 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 109...1-7...2 /....4...6...8 James Catt, Applications Engineer v(t)

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

2005 1

2005 1 2005 1 1 1 2 2 2.1....................................... 2 2.2................................... 5 2.3 VSWR................................. 6 2.4 VSWR 2............................ 7 2.5.......................................

More information

AN8934FA

AN8934FA BS +QPSK IC BS QPSK IC 4.5MHz L.P.F. 5.7MHz B.P.F. C/N 2 (75Ω ) 1 (75Ω ) PCM IC MN88831 1 (18.432MHz) BS 37 48 (14.25) 1 12.00±0.20 10.00±0.20 36 25 0.65 12 0.30 +0.10 0.05 Seating plane 24 13 (1.425)

More information

untitled

untitled LeCroy Technical Seminar WaveExpert April 13, 2005 LJDN-ST-WE-0204-0001 WaveExpert WaveExpert WaveExpert NRO WaveExpert LeCroy Japan, May 13, 2005 Page 2 Page 1 WaveExpert LeCroy Japan, May 13, 2005 Page

More information

Keysight VXAベクトル信号解析 Xシリーズ 測定アプリケーション N9064A/W9064A

Keysight VXAベクトル信号解析 Xシリーズ 測定アプリケーション N9064A/W9064A Keysight VXA X N9064A/W9064A Technical Overview FFT SCPI X 02 Keysight VXA X N9064A/W9064A - Technical Overview VXA VXAX Keysight X 25VXA 1X X CPU I/O 100 % X X X VXAFFT X 89600 VSA X X SCPI 89600 VSA

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

(interferometer) 1 N *3 2 ω λ k = ω/c = 2π/λ ( ) r E = A 1 e iφ1(r) e iωt + A 2 e iφ2(r) e iωt (1) φ 1 (r), φ 2 (r) r λ 2π 2 I = E 2 = A A 2 2 +

(interferometer) 1 N *3 2 ω λ k = ω/c = 2π/λ ( ) r E = A 1 e iφ1(r) e iωt + A 2 e iφ2(r) e iωt (1) φ 1 (r), φ 2 (r) r λ 2π 2 I = E 2 = A A 2 2 + 7 1 (Young) *1 *2 (interference) *1 (1802 1804) *2 2 (2005) (1993) 1 (interferometer) 1 N *3 2 ω λ k = ω/c = 2π/λ ( ) r E = A 1 e iφ1(r) e iωt + A 2 e iφ2(r) e iωt (1) φ 1 (r), φ 2 (r) r λ 2π 2 I = E 2

More information

m(ẍ + γẋ + ω 0 x) = ee (2.118) e iωt P(ω) = χ(ω)e = ex = e2 E(ω) m ω0 2 ω2 iωγ (2.119) Z N ϵ(ω) ϵ 0 = 1 + Ne2 m j f j ω 2 j ω2 iωγ j (2.120)

m(ẍ + γẋ + ω 0 x) = ee (2.118) e iωt P(ω) = χ(ω)e = ex = e2 E(ω) m ω0 2 ω2 iωγ (2.119) Z N ϵ(ω) ϵ 0 = 1 + Ne2 m j f j ω 2 j ω2 iωγ j (2.120) 2.6 2.6.1 mẍ + γẋ + ω 0 x) = ee 2.118) e iωt Pω) = χω)e = ex = e2 Eω) m ω0 2 ω2 iωγ 2.119) Z N ϵω) ϵ 0 = 1 + Ne2 m j f j ω 2 j ω2 iωγ j 2.120) Z ω ω j γ j f j f j f j sum j f j = Z 2.120 ω ω j, γ ϵω) ϵ

More information

, 1 ( f n (x))dx d dx ( f n (x)) 1 f n (x)dx d dx f n(x) lim f n (x) = [, 1] x f n (x) = n x x 1 f n (x) = x f n (x) = x 1 x n n f n(x) = [, 1] f n (x

, 1 ( f n (x))dx d dx ( f n (x)) 1 f n (x)dx d dx f n(x) lim f n (x) = [, 1] x f n (x) = n x x 1 f n (x) = x f n (x) = x 1 x n n f n(x) = [, 1] f n (x 1 1.1 4n 2 x, x 1 2n f n (x) = 4n 2 ( 1 x), 1 x 1 n 2n n, 1 x n n 1 1 f n (x)dx = 1, n = 1, 2,.. 1 lim 1 lim 1 f n (x)dx = 1 lim f n(x) = ( lim f n (x))dx = f n (x)dx 1 ( lim f n (x))dx d dx ( lim f d

More information

Ê u g } }{ ~ Ê Blue Tooth Ì d LAN ÊÊÊ sèííöïõöñ~ Ê Ê y ÑÔ ÑÎ ÉÈ ÑÑÒÕ LSI Ç ÌÍÍÉÆÍ ÑÑÒÕ LSI séê ÇÍÌÉt Ê LSI Ì É ÈÍÉÆÉÌÊÎ ÈÍ séæí }ÊÑÑÒÕ LSI Ê CMOS ÒÓÏÑ

Ê u g } }{ ~ Ê Blue Tooth Ì d LAN ÊÊÊ sèííöïõöñ~ Ê Ê y ÑÔ ÑÎ ÉÈ ÑÑÒÕ LSI Ç ÌÍÍÉÆÍ ÑÑÒÕ LSI séê ÇÍÌÉt Ê LSI Ì É ÈÍÉÆÉÌÊÎ ÈÍ séæí }ÊÑÑÒÕ LSI Ê CMOS ÒÓÏÑ 14 s v à dò Ñ~ ÎÒÖÐ ÒÏÑÑÖ ÑÑÒÕ LSI Ê Ã 15 Ï ÏÒÏÐ d f Ê u g } }{ ~ Ê Blue Tooth Ì d LAN ÊÊÊ sèííöïõöñ~ Ê Ê y ÑÔ ÑÎ ÉÈ ÑÑÒÕ LSI Ç ÌÍÍÉÆÍ ÑÑÒÕ LSI séê ÇÍÌÉt Ê LSI Ì É ÈÍÉÆÉÌÊÎ ÈÍ séæí }ÊÑÑÒÕ LSI Ê CMOS ÒÓÏÑÊ

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

数値計算:フーリエ変換

数値計算:フーリエ変換 ( ) 1 / 72 1 8 2 3 4 ( ) 2 / 72 ( ) 3 / 72 ( ) 4 / 72 ( ) 5 / 72 sample.m Fs = 1000; T = 1/Fs; L = 1000; t = (0:L-1)*T; % Sampling frequency % Sample time % Length of signal % Time vector y=1+0.7*sin(2*pi*50*t)+sin(2*pi*120*t)+2*randn(size(t));

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

1 1 sin cos P (primary) S (secondly) 2 P S A sin(ω2πt + α) A ω 1 ω α V T m T m 1 100Hz m 2 36km 500Hz. 36km 1

1 1 sin cos P (primary) S (secondly) 2 P S A sin(ω2πt + α) A ω 1 ω α V T m T m 1 100Hz m 2 36km 500Hz. 36km 1 sin cos P (primary) S (secondly) 2 P S A sin(ω2πt + α) A ω ω α 3 3 2 2V 3 33+.6T m T 5 34m Hz. 34 3.4m 2 36km 5Hz. 36km m 34 m 5 34 + m 5 33 5 =.66m 34m 34 x =.66 55Hz, 35 5 =.7 485.7Hz 2 V 5Hz.5V.5V V

More information