山下・大畠研究室 チップギャラリー

Size: px
Start display at page:

Download "山下・大畠研究室 チップギャラリー"

Transcription

1 山下 大畠研究室 チップギャラリー Since 2004

2 2.1 mm 6-bit Flash ADC Phase I differential voltage (V) fs=1 GHz, fin=40 MHz Input analog signal ADC output time (sample) 試作年度 mm 3 ヶ月 0.18 mm CMOS 上村勇仁 (B4) 永吉芳行 (B4) 大畠賢一 山下喜市 研究室初の ADC LSI ENOB=4.5 bit@1 GS/s 2.8 bit@2 GS/s 動いているだけで感激した

3 2.0 mm 10-Gb/s, 1:4 DEMUX Phase I 試作年度 ヶ月 0.18 mm CMOS 小野宏一 (B4) 大畠賢一 山下喜市 1:4 DEMUX LSI 四角く見えているのはスパイラルインダクタ 動作せず 1.4 mm

4 1.14 mm 10-Gb/s, 1:4 DEMUX Phase II Din Gb/s Dout mm 試作年度 2004 設計期間 製造プロセス 設計者 コメント 4 ヶ月 0.18 mm CMOS 小野宏一 (B4) 上村勇仁 (B4) 大畠賢一 山下喜市 研究室初の 10 Gb/s 動作 LSI 10 Gb/s での分離動作確認

5 1.14 mm 10-Gb/s, 4:1 MUX Phase I Gb/s 1.32 mm 試作年度 2004 設計期間 製造プロセス 設計者 コメント 4 ヶ月 0.18 mm CMOS 田口量寛 (B4) 犬塚正道 (B4) 上村勇仁 (B4) 大畠賢一 山下喜市 研究室初の 10 Gb/s 動作 LSI 10 Gb/s での多重動作確認

6 0.68 mm 10-GHz VCO -20 Phase noise (dbc/hz) 設計 実測 E+04 1.E+05 1.E+06 1.E+07 Offset frequency (Hz) 0.68 mm fosc (GHz) 設計 9.0 実測 Vcont (V) 試作年度 2004 設計期間 製造プロセス 設計者 コメント 0.5 ヶ月 0.18 mm CMOS 大畠賢一 山下喜市 研究室初の 10 GHz VCO ほぼ設計どおりの性能を確認

7 2.4 mm 6-bit Flash ADC Phase II Analog inputs Comparator Encoder Output buffer Digital outputs Clock inputs Digital outputs SNDR, SFDR (db) 試作年度 2005 設計期間 製造プロセス 設計者 SFDR SNDR ヶ月 sampling frequency (GHz) 0.18 mm CMOS fin=10 MHz 上村勇仁 (M1) 永吉芳行 (M1) 矢山浩輔 (B4) 呉慶 (B4) 大畠賢一 山下喜市 1.1 mm コメント 2004 年度版をブラッシュアップ 3 GHz で動作確認するも ENOB=4.5 bit

8 5.0 mm 10-Gb/s Intelligent Optical WDM Transceiver 10.0 mm RX Analog IF ADC DAC SRAM 32b x 8kw MPU core TX SRAM 32b x 8kw Transceiver MPU 試作年度 ヶ月 0.18 mm CMOS 鹿児島大学 : 田口量寛 (M1) 小野宏一 (M1) 犬塚正道 (M1) 厚地保幸 (B4) 柳田弥希 (B4) 大畠賢一 山下喜市日立ハイブリッド : 原澤克嘉 本田真 神永勝 池内英洋 松本昌人 平塚和也 池邉寛慶応大学 : 西宏章 10 Gb/s での B to B 伝送試験で BER<10-11 を達成 内蔵 MPU によるレーザー波長制御を確認

9 2.5 mm 10-GHz, 10-bit DAC for Y-00 Protocol Phase I 試作年度 mm 3 ヶ月 0.25 mm SiGe BiCMOS 小野宏一 (M2) 犬塚正道 (M2) 清水雅裕 (B4) 大畠賢一 山下喜市 研究室初の SiGe LSI 10 GHz, 2.5 Vpp で動作確認

10 1.0 mm 1-GHz T/H Circuit with Body-Bias Control fs = 1 GHz, fin = MHz 2 ns/div., 50 mv/div. 1.4 mm 試作年度 ヶ月 90 nm CMOS 矢山浩輔 (M1) 清水佑一郎 (B4) 大畠賢一 山下喜市 研究室初の 90 nm CMOS LSI ボディーバイアス制御回路を搭載し SFDR=56.3 db@1 GS/s を達成

11 1.0 mm 1-GHz V TH Adjustable Comparator 1.4 mm 試作年度 ヶ月 90 nm CMOS 上村勇仁 (M2) 永吉芳行 (M2) 田口量寛 (M2) 大畠賢一 山下喜市 研究室初の 90 nm CMOS LSI 閾値可変コンパレータ 1 GHz で閾値可変動作確認

12 1.5 mm VCSEL Driver for Optical Interconnection Optical output waveform at 5 Gb/s 1.8 mm H:48ps/div V:0.3mW/div 試作年度 ヶ月 0.18um CMOS 李言勝 (D2) 厚地保幸 (M1) 関健治 (B4) 大畠賢一 山下喜市 チップ間光配線用 VCSEL ドライバ 温度補償回路を内蔵し 5 Gb/s で動作を確認

13 2.5 mm 10-GHz, 10-bit DAC for Y-00 Protocol Phase II 100mV/div 10ns/div 試作年度 mm ramp waveform (10GS/s) 100mV/div 20ps/div 3 ヶ月 0.25 mm SiGe BiCMOS 清水雅裕 (M1) 迫紘平 (B4) 宇野哲史 (B4) 大畠賢一 山下喜市 2006 年試作の改良版 fout=5 GHz で SFDR=30 db を達成 Y-00 modulated waveform (10Gb/s)

14 4 10 Gb/s VCSEL driver for Optical Interconnection VCSEL array circuit core (1 ch.) 175 x 225 um Driver LSI D0 D3 Flip-chip bonded D1 CK D2 10 Gb/s optical waveform (Solid line is sim.) 試作年度 ヶ月 90 nm CMOS 厚地保幸 (M2) 関健治 (M1) 今村裕典 (B4) 竹下佳岐 (B4) 大畠賢一 山下喜市 非対称エンファシス方式を考案し 10 Gb/s 動作を実現

15 770-MS/s, 70-mW, 8-bit Subranging ADC 2.2 mm CADC R-ladder T/H MUX F-ADC 960 mm SNDR, SFDR (db) fin=fs/ SFDR SNDR Sampling frequency (MHz) SDNR, SFDR vs. sampling frequency 試作年度 2007 設計期間 製造プロセス 設計者 コメント 2.2 mm 6 ヶ月 90 nm CMOS 630 mm 矢山浩輔 (M2) 内野浩基 (M1) 清水佑一郎 (M1) 大山康祐 (B4) 有川寛人 (B4) 大畠賢一 山下喜市 参照電位プリチャージ方式を考案し 8bit サブレンジング型では 世界最高速を達成 Magnitude (db) fs = 770 MHz, fin = 400 MHz Output data is downsampled by 2. 2nd 4th 9th Frequency (MHz) Spectrum

16 2.2 mm CADC1 MUX1 R -ladder CADC2 MUX2 FADC1 FADC2 E NC1 T/H E NC mm SNDR (db) 1.2-GS/s, 100-mW, 8-bit Time-Interleaved Subranging ADC 1.34 mm VTH g en. 45 G a in com mm fin=10mhz fin=fs/4 fin=fs/ C K g en. fs (MHz) Single channel での測定結果 試作年度 ヶ月 90 nm CMOS 内野浩基 (M2) 清水佑一郎 (M2) 大山康祐 (M1) 入佐浩亮 (B4) 上土橋尚弘 (B4) 大畠賢一 山下喜市 インターリーブ技術の挑戦したが チャネル間オフセットにより 期待した性能は得られなかった

17 17-Gb/s VCSEL driver for Optical Interconnection VCSEL driver (1 ch) 220 x 330 mm VCSEL 試作年度 ヶ月 90 nm CMOS 今村裕典 (M1) 大野聖信 (M1) 谷口隆哉 (B4) 大畠賢一 山下喜市 ダブルパルス非対称エンファシス技術により 17 Gb/s を達成 17 Gb/s Optical waveform

18 Low-Voltage RF-MEMS Switch Using Two Step Driving Method 試作年度 ヶ月 Metal MUMPs 河野明 (M2) 赤坂純也 (M2) 大畠賢一 研究室初の MEMS チップ 2 段階駆動により低駆動電圧を目指した RF MEMS スイッチ 製造時の応力により可動部が反ってしまい 動作せず

19 1-GS/s, 44-mW, 6-bit Flash ADC Output Buf. Enc. Comparators R-ladder 試作年度 週間 0.18 um CMOS 大畠賢一 ダイナミック型アナログラッチに容量アベレージングを適用することで プリアンプを使用せずオフセットを抑圧し 大幅な電力削減を狙った 設計ミスにより SNDR は 28 db しか得られなかった

20 Low-Power, Low-offset Stacked Analog Latch 56 x 12.4 μm for an analog latch 64 to 1 selector Decoupling cap. 64 analog latches Decoupling cap. CK gen. Frequency Proposed w/o offset cancellation Offset voltage (mv) 試作年度 ヶ月 0.18 um CMOS 伊達浩己 入佐浩亮 上土橋尚弘 建野峰彦 大畠賢一 ダイナミック型アナログラッチにオフセットキャンセル回路を付加することで 低電力かつ低オフセットのアナログラッチを実現した 200 MHz 動作で消費電力 50 uw オフセット 3.3 mv

21 ENC ENC CK Gen. C-ADC C-Ladder AMUX F-Ladder F-ADC CK Gen. 440 μm 1-GHz, 17.5-mW, 8-bit Subranging ADC TH 570 μm 試作年度 ヶ月 65 nm CMOS 高瀬啓行 建野峰彦 有田真唯 今掛直裕 米満侑卓 大畠賢一 オフセットキャンセリングチャージステアリングアンプ 容量アベレージング 抵抗ラダー歪み補償などのアナログ技術を駆使することで キャリブレーションを用いないで FOM=118 fj/conv.-step を実現した

22 SNDR (db) 1-GHz, 7.0-mW, 8-bit Subranging ADC 520 TH CAL CADC CDAC FADC CAL CENC 370 CALCTRL ERRADJ FENC REF (CAL) DECIM OBUF 45 SNDR ( 入力換算 ) fs = 1 GHz, fin = 10 MHz 試作年度 設計期間 6 ヶ月 35 製造プロセス 65 nm CMOS SNDR 入力振幅 (LSBpp) 設計者 コメント 今掛直裕 米満侑卓 下薗太 田平大基 吉村渉 岩元雅太郎 大畠賢一 Built-in Vth 技術とフォアグラウンドキャリブレーション技術を組み合わせることで 抵抗ラダーを削除した低電力サブレンジング ADC CDAC のレイアウトに不備があり 歪みが大きいが 入力振幅を制限することで SNDR=41.8 db を確認

23 500-MHz, 2.0-mW, 8-bit Subranging ADC 試作年度 ヶ月 65 nm CMOS 堀田海平 山口直人 早川大樹 瀬脇健司 今柳田賢人 園田悠樹 大畠賢一 Flash ADC と時間領域 ADC(Single slope ADC) を組み合わせることで 高速 極低電力の ADC を実現した 最先端 SAR ADC に迫る 32 fj/conv.- step を実現 これにより 時間領域 ADC の有効性を示した

24 900-MHz, 3.5-mW, 8-bit Pipelined Subranging ADC Combining Flash ADC and TDC 試作年度 ヶ月 65 nm CMOS 早川大樹 瀬脇健司 今柳田賢人 上野浩暉 園田悠樹 室屋健一郎 大畠賢一 Flash ADC と時間領域 ADC(Single slope ADC) を組み合わせてサブレンジング構成とし さらにパイプライン化して高速化した 最先端 SAR ADC に迫る 32 fj/conv.-step を実現 これにより 時間領域 ADC の有効性を示した

25 2.3-mW, 950-MHz, 8-bit, Fully-Time-Based Subranging ADC Using Highly-Linear Dynamic VTC 試作年度 ヶ月 65 nm CMOS 大畠賢一 2 つの時間領域 ADC を組み合わせた完全時間領域サブレンジング ADC 高線形 VTC により入力範囲も広い 65 nm 製造技術では世界最小の FOM=16 fj/conve. step を実現した

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt 超高速 低電力 ADC 松澤昭宮原正也 東京工業大学 28.96 A. 内容 2 はじめに 6bit 超高速 ADCの動向 8bit 以上の超高速 ADCの動向 まとめ 28.96 A. ADC 応用の例 :DVD システム 3 DVD DVDでは再生された信号をAD 変換して イコライザーや誤り訂正をデジタル技術で行うことで信号品質を上げる ワイアレスシステムも基本的には同じ波形等価誤り訂正 Variable

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

untitled

untitled 2005 2 1 105-0004 5-34-3 Tel: 03-3431-4002 Fax: 03-3431-4044 1 SRL/ISTEC 1 1 SFQ SFQ SFQ 2004 9 4 SFQ SFQ / LSI 269 230 230 230 269 230 SFQ SFQ 2005 2 ISTEC 2005 All rights reserved. - 1 - 2005 2 1 105-0004

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

QTC LSI Analog Timing Module QTC LSI

QTC LSI Analog Timing Module QTC LSI QTC chip CMOS 2006 1 27 QTC LSI Analog Timing Module QTC LSI QTC LSI QTC LSI Discriminator TDC PMT Signal Self Gate Q Charge and Discharge T Q T 電荷情報を時間に変換して TDC で AD 変換を行う QTC は内部にクロックを持たず Self gate で内部でタイミング信号を生成する

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

PRECISION DIGITAL PROCESSOR DC-101

PRECISION DIGITAL PROCESSOR DC-101 PRECISION DIGITAL PROCESSOR Accuphase warranty is valid only in Japan. 2 3 1 4 5 IN 6 10 11 7 8 9 12 3 INPUT LEVEL(dB) 2 4 5 PRECISION DIGITAL PROCESSOR STEREO MHZ SELECTIVITY METER NORMAL SIGNAL MEMORY

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ LM193,LM2903,LM293,LM393 LM193/ Low Power Low Offset Voltage Dual Comparators Literature Number: JAJSB74 2 LM293 2.0mV 2 A/D VCO MOS LM293 TTL CMOS LM293 MOS LM393 LM2903 Micro SMD 8 ( 0.3mm) Squarewave

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

AD

AD AD 1110800673 2015 2 25 1 1 1.1..................................... 1 1.2................................... 3 2 4 2.1....................... 4 2.2 TDC................................ 5 2.2.1.....................................

More information

160GHz

160GHz 2006 11 24 2006 2006/11/24 Seminar-Progresses-A1.ppt 1 Ultrafast Optical Logic Lab., UEC 160GHz 0212014 1 DISC-Loop DISC-Loop 2 DFB-LD DFB-LD 2 WDM 100 Ch OTDM t 3 DISC-Loop 10 160GH 6~100ps 10~160GHz

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

電子情報通信学会ワードテンプレート (タイトル)

電子情報通信学会ワードテンプレート (タイトル) 社団法人電子情報通信学会 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS 信学技報 IEICE Technical Report 補間技術とバックグランド補償技術を用いた 8-bit 600-MSps 並列型 ADC に関する研究 白戴和浅田友輔宮原正也松澤昭 東京工業大学電子物理工学専攻 152-8552

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

卒業研究報告

卒業研究報告 卒業研究報告 題 目 VCSEL-array 指導教員 報告者 平成 14 年 2 月 5 日 高知工科大学電子 光システム工学科 1-1 3 2-1 5 2-2 7 3-1-1 VCSEL 8 3-1-2 VCSEL VCSEL-array 8 3-2 9 3-3 10 3-4-1 VCSEL 10 3-4-2 15 3-4-3 16 3-5-1 VCSEL-array 19 3-5-2 21 3-5-3

More information

LT 高信号レベル・アップコンバーティング・ミキサ

LT 高信号レベル・アップコンバーティング・ミキサ LT 高信号レベルアップコンバーティング ミキサ 特長 MHz RF RF IF IP 7dBm 9MHz dbm IF db RF LO dbm LO 二重平衡ミキサ イネーブル機能.V~.Vの単一電源電圧範囲 露出パッド付き ピン TSSOPパッケージ アプリケーション CATV ダウンリンク インフラストラクチャ ワイヤレス インフラストラクチャ 高直線性ミキサ アプリケーション 概要 LT

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

untitled

untitled + From Tradeoffs of Receive and Transmit Equalization Architectures, ICC006,Bryan Casper, Intel Labs Transmitter Receiver 0 magnitude (db) 0 0 30 40 50 60 0 4 frequency (GHz). Receiver Transmitter FFE

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

????????????MUX ????????????????????

????????????MUX ???????????????????? PGA116 PGA112 PGA113 PGA117 PGA112, PGA113 PGA116, PGA117 www.tij.co.jp µµ µµ ± µ +5V +3V AV DD 1 C BYPASS.1µF DV DD C BYPASS.1µF C BYPASS.1µF V CAL/CH CH1 3 2 1kΩ MUX CAL1 PGA112 PGA113 R F 1 Output Stage

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to- General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 358 LMV358/324 LM358/324

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

LeCroy DSOラインナップ比較表_ xlsx

LeCroy DSOラインナップ比較表_ xlsx デジタル オシロスコープ WaveJetTouch (350~500MHz) モデル名 WaveJet 33T WaveJet 35T アナログ帯域 350 MHz 500 MHz 帯域アップグレードパス 立ち上がり時間 10% 90% 1 ns 750 ps 最高サンプリング レート @1ch 2 GS/s 2 GS/s 最高サンプリング レート @2ch 2 GS/s 2 GS/s 最高サンプリング

More information

DS04-21361-4

DS04-21361-4 Cypress () FUJITSU SEMICONDUCTOR DATA SHEET DS4 236 4 ASSPDTS Bi-CMOS PLL (. GHz PLL) MB5F7SL MB5F7SL,, MHz 2 PLL (Phase Locked Loop) LSI Bi CMOS, 5 ma (VCC 2.7 V), VCC 2.4 V,.5 ma, 6 ma 2, MB5F7SL,, MHz

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

DS90LV047A

DS90LV047A 3V LVDS 4 CMOS 4 CMOS Low Voltage Differential Signaling (LVDS) 400Mbps (200MHz) TLL/CMOS 350mV TRI-STATE 13mW ( ) PCB ENABLE ENABLE* AND TRI- STATE 4 DS90LV04 A (DS90LV048A ) ECL 1 1 Dual-In-Line 3V LVDS

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

Microsoft Word - triplexxx.doc

Microsoft Word - triplexxx.doc 12AX7 3 12AX7 6L6GC 4 EL34 4 SEND RETURN Tight Medium Loose Ultra Crunch (4, 6, 16 ) 2 各部の説明 1. POWER ON 2. STANDBY LED OFF ON 3. POWER STATUS LAMP 4. MASTER VOLUME CHANNEL 5. HAIR 15dB CLEAN 6. BODY /

More information

スライド 1

スライド 1 2011 年 10 月 4 日,SCOPE 第 7 回成果発表会, 幕張メッセ デジタルコヒーレント光通信技術の 研究開発 Research on Digital Coherent Optical Communication Systems 菊池和朗 Kazuro Kikuchi 東京大学大学院工学系研究科電気系工学専攻 Department of Electrical Engineering and

More information

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い & 高い柔軟性と使いやすさを実現する包括的製品ポートフォリオ tij.co.jp/clocks 2013 アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使いやすく

More information

デジタル オシロスコープ WaveJetTouch シリーズ (350~500MHz) WaveJet 33T WaveJet 35T 入力 ch 数 ( アナログ ) アナログ帯域 350 MHz 500 MHz 帯域アップグレードパス 立ち上がり時間 10% 90% 1 ns 750 ps 最高

デジタル オシロスコープ WaveJetTouch シリーズ (350~500MHz) WaveJet 33T WaveJet 35T 入力 ch 数 ( アナログ ) アナログ帯域 350 MHz 500 MHz 帯域アップグレードパス 立ち上がり時間 10% 90% 1 ns 750 ps 最高 WaveAce 1001 WaveAce 1002 WaveAce 1012 WaveAce 2002 WaveAce 2012 WaveAce 2022 WaveAce 2032 入力 ch 数 ( アナログ ) 2 2 2 2 2 2 2 アナログ帯域 0 MHz 60 MHz 100 MHz 70 MHz 100 MHz 200 MHz 300 MHz 帯域アップグレードパス 立ち上がり時間

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

MAX DS.J

MAX DS.J 9-83; Rev ; / µ µ PART TEMP. RANGE PIN- PACKAGE TOP M ARK MAX442EXK-T -4 C to +85 C 5 SC7-5 ABH MAX442EUK-T -4 C to +85 C 5 SOT23-5 ADOL MAX443EKA-T -4 C to +85 C 8 SOT23-8 AADR 2..9 SUPPLY CURRENT vs.

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

untitled

untitled LeCroy Technical Seminar WaveExpert April 13, 2005 LJDN-ST-WE-0204-0001 WaveExpert WaveExpert WaveExpert NRO WaveExpert LeCroy Japan, May 13, 2005 Page 2 Page 1 WaveExpert LeCroy Japan, May 13, 2005 Page

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

ABSOLUTE MAXIMUM RATINGS Supply Voltage,...-.5V to 5.V Input Voltage (LVDS, TTL)...-.5V to ( +.5V) Output Voltage (LVDS)...-.5V to ( +.5V) Continuous

ABSOLUTE MAXIMUM RATINGS Supply Voltage,...-.5V to 5.V Input Voltage (LVDS, TTL)...-.5V to ( +.5V) Output Voltage (LVDS)...-.5V to ( +.5V) Continuous 9-48; Rev ; 3/ PART TEMP. RANGE PIN-PACKAGE UCM C to +85 C 48 TQFP MAX3869 LASER DRIVER OPTICAL TRANSCEIVER 2.5Gbps MAX383 4-CHANNEL INTERCONNECT MUX/DEMUX 622Mbps CROSSPOINT SWITCH SONET SOURCE A SONET

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

1516-機器センサ_J.indb

1516-機器センサ_J.indb 機器用センサ Grid-EYE Grid-EYE Grid-EYE Grid-EYE Grid-EYE 着座検知 扉開閉 洗濯機の水位検知 電子レンジ PS-A 微圧タイプ 水位検知 Grid-EYE 温度計測 位置検知 熱 軸GF 赤外線アレイセンサ Grid-EYE 設計 仕様について予告なく変更する場合があります ご購入及びご使用前に当社の技術仕様書などをお求め願い それらに基づいて購入及び使用していただきますようお願いします

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

news

news ETL NEWS 1999.9 ETL NEWS 1999.11 Establishment of an Evaluation Technique for Laser Pulse Timing Fluctuations Optoelectronics Division Hidemi Tsuchida e-mail:tsuchida@etl.go.jp A new technique has been

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

LTC ビット、100ksps、サンプリングADC

LTC ビット、100ksps、サンプリングADC BUSY ±V INPUT Ω.k V IN CAP REF V k BUFFER k AGND 8 7 V DIG V ANA k k REFERENCE AGND 6-BIT SAMPLING ADC DGND CONTROL LOGIC AND TIMING D TO D BUSY CS R/C BYTE 6 TA 6 TO TO 6 µf.µf DIGITAL CONTROL SIGNALS

More information

LM837 Low Noise Quad Operational Amplifier (jp)

LM837 Low Noise Quad Operational Amplifier (jp) Low Noise Quad Operational Amplifier Literature Number: JAJSBB7 600 Low Noise Quad Operational Amplifier 2000 8 Converted to nat2000 DTD ds009047tl/h/9047 33020 19860602 10 V/ s ( ); 8 V/ s ( ) 25 MHz

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

パルス波高値計測回路の製作

パルス波高値計測回路の製作 パルス波高値計測回路の製作 吉田久史 豊田朋範 自然科学研究機構分子科学研究所装置開発室 概要極端紫外光実験施設 (UVSOR) の自由電子レーザー (FEL) 実験において 透過型光強度モニターからのパルス信号の波高値を計測するための電子回路が必要となった この情報は最終的に電子分光装置で使用する TDC(Time to Digital Converter) により時間情報としてパソコンに取り込みたいという要望が有り

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

Microsoft PowerPoint - machida0206

Microsoft PowerPoint - machida0206 広帯域制御のためのフォトメカニカルアクチュエータの開発とその応用 東京大学新領域創成科学研究科物質系専攻三尾研究室 M2 町田幸介 重力波研究交流会 (2009 2/6) 1 発表の流れ 実験の背景 広帯域制御のためのアクチュエータ 実験の目的 実験 電磁アクチュエータの作製 電磁アクチュエータの評価 電磁アクチュエータの応用 ( 位相雑音補償と共振器長制御 ) まとめ 2 広帯域制御のためのアクチュエータ

More information

NJM2387/89 出力可変型低飽和レギュレータ 概要 NJM2387/89 は出力可変型低飽和レギュレータです 出力電流は1.0A まで供給可能であり 可変出力電圧範囲は 1.5V~20V 最大入力電圧は 35Vと高耐圧のため TV カーオーディオ等の電源アプリケーションに最適です NJM238

NJM2387/89 出力可変型低飽和レギュレータ 概要 NJM2387/89 は出力可変型低飽和レギュレータです 出力電流は1.0A まで供給可能であり 可変出力電圧範囲は 1.5V~20V 最大入力電圧は 35Vと高耐圧のため TV カーオーディオ等の電源アプリケーションに最適です NJM238 出力可変型低飽和レギュレータ 概要 は出力可変型低飽和レギュレータです 出力電流は.A まで供給可能であり 可変出力電圧範囲は.5V~V 最大入力電圧は 35Vと高耐圧のため TV カーオーディオ等の電源アプリケーションに最適です NJM37 はON/OFF コントロール端子付きですので OFF 時の消費電流を低減させることができます 外形 NJM37DL3 NJM39F 特長 低入出力間電位差.V

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

Microsoft PowerPoint - 光ネットワーク産業_ pptx

Microsoft PowerPoint - 光ネットワーク産業_ pptx 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると,

More information

JA.indd

JA.indd DCA-J Agilent 86100C Technical Specifications 2008 10 1 4 PatternLock 40 Gb/s TDR S Agilent 86100A/86100B/83480A/54750A 100 fs Windows XP Pro 3 4 5 6 /PLL 7 TDR/TDT/S 7 8 9 10 13 16 17 86100 18 19 21 23

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

MAX4886 DS.J

MAX4886 DS.J 19-0807; Rev 0; 4/07 EVALUATION KIT AVAILABLE μ PART TEMP RANGE PIN- PACKAGE PKG CODE ETO+ -40 C to +85 C 42 TQFN-EP* T42359OM-1 * EYE DIAGRAM ( = 3.3V, f = 2.6GHz 600mV P-P PRBS SIGNAL+) * PRBS = PSUEDORANDOM

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

untitled

untitled 慣性運動計測機器 クロ ス ボ ー株 式 会 社 Crossbow Japan Ltd. MEMS 3 1 X Y Z 3 RS-232 Windows Gyro-View Nav-View GPS NAV420 3 AHRS400 VG400 6 IMU400 (AHRS) TEL: 06-6489-5922 FAX: 06-6489-5910 E-MAIL: sales@xbow.jp WEB:

More information

MLA8取扱説明書

MLA8取扱説明書 (5)-2 2 (5)-2 3 (5)-2 4 5 2 3 4 5 6 7 1 2 3 4 5 6 7 8 POWER ON / OFF 1 1 n 2 3 4 5 6 7 n 6 AC IN 8 MODEL MAL8 MADE IN INDONESIA 7 6 5 4 OUTPUT +4dBu ANALOG OUTPUT +4dBu G G 3 2 1 8 7 6 5 INPUT 4 3 2 1

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

untitled

untitled NJU7704/05 C-MOS ( ) ±1.00.9µA DSP SOT-23-5 SC88A 2 DSP NJU7704/05F NJU7704/05F3 ±1.0 0.9µA typ ( ) 1.5 6.0(0.1 step) ( C ) ( ) Active "L" : NJU770****A Active "H" : NJU770****B Nch : NJU7704 C-MOS : C-MOS

More information

AN41904A

AN41904A DATA SHEET 品種名 パッケージコード UBGA064-P-0606ACA 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 応用回路例.... 5 端子説明... 6 絶対最大定格..... 8 動作電源電圧範囲. 8 次 2 カムコーダ用レンズドライバ ( アイリス制御内蔵 ) 概要 は, カムコーダ用レンズドライバ

More information

Microsoft PowerPoint - IEICE_matsu_ pptx

Microsoft PowerPoint - IEICE_matsu_ pptx アナログ RF CMOS 集積回路技術の 現状と今後の動向 -- ADC などのベースバンド回路を中心に -- 松澤昭 東京工業大学大学院理工学研究科 内容 60GHz ミリ波通信用 ADC 補間パイプライン型 ADCの提案と開発 ビット SAR ADCの開発 SAR ADCの開発課題 アナログ ADC 開発の今後 60GHz ミリ波通信用 ADC 従来のミリ波システム 3 006 年には GaAs

More information

untitled

untitled NJM88/A ma.µf SOT-89- TO--(NJM88ADL) ESON6-H(NJM88AKH) NJM88U NJM88ADL NJM88AKH (...97mm) 7dB typ. (f=khz, Vo=V ) Vno=µVrms typ..µf (Vo.7V) Io(max.)=mA Vo±.%.8V typ. (Io=mA ) ON/OFF SOT-89-(NJM88U) / TO--(NJM88ADL)

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

untitled

untitled S ANIRTAO ANIR 1. 1.1. HAWAII-2 Rockwell Scientific Company(RSC: Teledyne) HAWAII-2 HAWAII-2 20482048 HgCdTe HAWAII-2 1 HAWAII-2 1 1 HAWAII-2 Rockwell Parameter Measured Performance Units Detector Interface

More information