スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev A

2 コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2

3 CMT の概要 3

4 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3 4

5 CMT の動作概要 設定 比較 5

6 プログラムサンプル 6

7 サンプル プログラム仕様 CMT のプログラム例 CMT0 を用い 500ms 毎に LED を点滅する 仕様 チャネル クロックソース 割り込み チャネル0を使用 PCLK[48MHz] コンペアマッチ割り込み 7

8 プログラム フローチャート リセット スタート main スタートアップルーチン init_port LED の初期化 初期化 カウント開始 init_cmt0 CMT0 初期化 start_cmt0 CMT0 カウントスタート setpsw_i( 組み込み関数 ) 割り込み許可 CMT0 割り込み関数 (Excep_CMTU0_CMT0) LED 点滅 RTE CPU 内部レジスタ クロックレート およびメモリ内の静的変数領域はスタートアップ ルーチン内で初期化されます wait( 組み込み関数 ) 割り込み要求待ち 低消費電力モードへ遷移 割り込み要求 (H/W) 8

9 コンペアマッチカウントモードの初期化フロー 初期化開始 モジュールストップ解除 内部クロックの設定 コンスタントレジスタの設定 割り込み許可 割り込み要求の許可 割り込みコントローラの割り込み許可 割り込み優先レベルの設定 初期化終了 9

10 モジュールストップコントロールレジスタ A(MSTPCRA) ユニット 0 使用可 10

11 カウント値の設定 コンスタントレジスタ値 =48 [MHz] 500[ms] 1 = 24M 1 > 16ビット 48[MHz] 500[ms] = 1 = < 16ビット

12 コンペアマッチタイマコントロールレジスタ (CMCR)(1) 12

13 コンペアマッチタイマコンスタントレジスタ (CMCOR) ( カウント値 - 1) を設定 カウント値 =

14 コンペアマッチカウントモードの初期化関数 (1) void init_cmt0(void) { // Wakeup unit 0 MSTP(CMT0) = 0; // Clock select is PCLK/512 CMT0.CMCR.WORD = 0x0083; // Counts for 500ms(48000kHz(48MHz) *500ms/512) CMT0.CMCOR = 48000*500/512-1; } // CMI0 interrupt is enabled CMT0.CMCR.WORD = 0x0080 0x0040; // CMI0 interrupt request is enabled IEN(CMT0,CMI0) = 1; // CMI0 interrupt priority level is 1 IPR(CMT0,CMI0) = 1; 初期化開始モジュールストップ解除内部クロックの設定コンスタントレジスタの設定割り込み許可割り込みコントローラの割り込み許可 割り込み優先レベルの設定 初期化終了 14

15 コンペアマッチタイマコントロールレジスタ (CMCR) (2) 15

16 割り込みコントローラの設定 CPUへ割り込みコントローラ IER( 許可 ) IPR( 優先レベル ) 16

17 割り込み要求許可レジスタ m(ierm)(m = 02h ~ 1Fh) IER03 17

18 割り込み要因プライオリティレジスタ m(iprm)(m= 00h~8Fh) コンペアマッチ割り込み :IPR04 18

19 コンペアマッチカウントモードの初期化関数 (2) void init_cmt0(void) { // Wakeup unit 0 MSTP(CMT0) = 0; // Clock select is PCLK/512 CMT0.CMCR.WORD = 0x0083; // Counts for 500ms(48000kHz(48MHz) *500ms/512) CMT0.CMCOR = 48000*500/512-1; } // CMI0 interrupt is enabled CMT0.CMCR.WORD = 0x0080 0x0040; // CMI0 interrupt request is enabled IEN(CMT0,CMI0) = 1; // CMI0 interrupt priority level is 1 IPR(CMT0,CMI0) = 1; 初期化開始モジュールストップ解除内部クロックの設定コンスタントレジスタの設定割り込み許可割り込みコントローラの割り込み許可 割り込み優先レベルの設定 初期化終了 19

20 割り込み関数の作成とベクタテーブルの登録 // CMTU0_CMT0 #pragma interrupt (Excep_CMTU0_CMT0(vect=28)) void Excep_CMTU0_CMT0(void) { // Invert P47(LED1) PORT4.DR.BIT.B7 ^= 1; } CMT0 割り込み関数 (Excep_CMTU0_CMT0) LED 点滅 RTE 20

21 コンペアマッチカウントモードのカウント開始関数 main init_port LED の初期化 void start_cmt0(void) { // Start CMT0 CMT.CMSTR0.BIT.STR0 = 1; } init_cmt0 CMT0 初期化 start_cmt0 CMT0 カウントスタート setpsw_i( 組み込み関数 ) 割り込み許可 wait( 組み込み関数 ) 割り込み要求待ち 低消費電力モードへ遷移 21

22 コンペアマッチタイマスタートレジスタ 0(CMSTR0) 22

23 コンペアマッチカウントモードのメイン関数 メイン関数 void main(void) { // LED Initialization init_port(); // CMT0 Initialization init_cmt0(); // CMT0 count start start_cmt0(); // Interrupt enable (CPU) setpsw_i(); while(1){ // CPU sleep wait(); } } main init_port LED の初期化 init_cmt0 CMT0 初期化 start_cmt0 CMT0 カウントスタート setpsw_i( 組み込み関数 ) 割り込み許可 wait( 組み込み関数 ) 割り込み要求待ち 低消費電力モードへ遷移 23

24 プログラムサンプルのカスタマイズ 24

25 周期の変更 500ms 周期 void init_cmt0(void) { // Wakeup unit 0 MSTP(CMT0) = 0; // Clock select is PCLK/512 CMT0.CMCR.WORD = 0x0083; // Counts for 500ms(48000KHz(48MHz)*500/512) CMT0.CMCOR = 48000*500/512-1; 100ms 周期 void init_cmt0(void) { // Wakeup unit 0 MSTP(CMT0) = 0; // Clock select is PCLK/512 CMT0.CMCR.WORD = 0x0083; // Counts for 100ms(48000KHz(48MHz)*100/512) CMT0.CMCOR = 48000*100/512-1; 25

26 END ルネサスエレクトロニクス株式会社

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

Microsoft PowerPoint - RX62N動画マニュアルDMAC.ppt [互換モード]

Microsoft PowerPoint - RX62N動画マニュアルDMAC.ppt [互換モード] RX62N 周辺機能紹介 DMAC Direct Memory Access Controller ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DMACの概要 転送モード 起動要因 その他の機能 プログラムサンプル 2 DMAC の概要 3 機能概要 項目 内容 最大転送数起動要因チャネル優先順位 1データ転送データブロックサイズノーマル

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

アプリケーションノート AS-E402サンプルプログラム

アプリケーションノート AS-E402サンプルプログラム GR-SAKURA 用拡張ボード AS-E402 サンプルプログラム アプリケーションノート 1. 概要 GR-SAKURA AS-E402 CubeSuite+ 2. 用意するもの AS-E402 GR-SAKURA E1 DC GR-SAKURA AC E1 CubeSuite+ V2.01.00 3. サンプルプログラムの動作 AS-E402 LED SW1 LED1 SW2 LED2 LED3

More information

スライド 1

スライド 1 RX63N 周辺機能紹介 ETHERC/EDMAC イーサネットコントローラ / イーサネットコントローラ用 DMA コントローラ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ ETHERC/EDMAC の概要 プログラムサンプル プログラム仕様 プログラム フローチャート PHY-LSI の初期設定 PHY-LSI

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */ /*=============================================================*/ /* インクルードファイル */ /*=============================================================*/ #include #include "../../common/iodefine.h"

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41

RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41 RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 4 3.1 使用端子一覧... 4 4. ソフトウェア説明... 5 4.1 動作概要...

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

Microsoft Word - プログラムをRAM.doc

Microsoft Word - プログラムをRAM.doc プログラムを RAM 上でデバッグする場合の説明 対象 CPU 1)H8/300H H8S シリーズ H8SX シリーズ SH-2 シリーズが対象になります Rev1.50 DEF バージョン 6.30A 仕様より DEF バージョン 7.10A 仕様より 機能 1)BSC( バスステートコントローラ ) による拡張 RAM でのデバッグに対応しました 2)PBC/UBC 無しタイプの CPU 品種でもプログラムメモリが

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code // USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 2017.03.16 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code void main(void) /* IO ピン初期設定 */ ANSEL = 0x00; // 0b10000000

More information

r_init_clock_c - メモ帳

r_init_clock_c - メモ帳 * System Name : RX210 initialization example * File Name : r_init_clock.c * Version : Ver 2.00 * Device : R5F52108ADFP(RX210 Group) * Abstract : Program example of RX210 initialization * Tool-Chain : High-performance

More information

CubeSuite+版RXシリアルデバッガ取扱説明書

CubeSuite+版RXシリアルデバッガ取扱説明書 CubeSuite+ 版 RX シリアルデバッガ取扱説明書 はじめに この取扱説明書は CubeSuite+ 版 RXシリアルデバッガ 用に作成されたものです RXシリアルデバッガはターゲット CPU の内蔵フラッシュメモリに書き込み シリアルポート (COM ポート ) 経由で CubeSuite+ からターゲット CPUのデバッグを行うデバッグ ツールです E1/E20エミュレータのような機器を必要としませんが

More information

本ドキュメントについて 的 ARM 統合開発環境である ARM IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計 援ツールを使 して ST 社評価ボード のソフトウェアを実際にビルド デバッグする

本ドキュメントについて 的 ARM 統合開発環境である ARM IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計 援ツールを使 して ST 社評価ボード のソフトウェアを実際にビルド デバッグする STM32 M0&M0+ ソフトウェア開発 April 2015 IAR Systems K.K. FAE Team 本ドキュメントについて 的 ARM 統合開発環境である ARM IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計 援ツールを使 して ST 社評価ボード のソフトウェアを実際にビルド

More information

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL ブート領域 フラッシュ領域の分割方法 RL78 ファミリ用 C コンパイラ CC-RL 2016 年 10 月 5 日 Rev.2.00 ソフトウエア事業部 ソフトウエア技術部 ルネサスシステムデザイン株式会社 R20UT3475JJ0200 アジェンダ はじめにページ 3 概要ページ 4 ブート領域 フラッシュ領域共通ページ 12 ブート領域ページ 19 フラッシュ領域ページ 38 デバッグツールページ

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Renesas Synergy TM プラットフォーム ThreadX リアルタイム OS 紹介 アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Synergy プラットフォーム構成中核を担う ThreadX リアルタイム OS ご紹介部分 ページ 3 ThreadX

More information

PowerPoint Presentation

PowerPoint Presentation STM32 M7 ソフトウェア開発入門 September 2015 IAR Systems K.K FAE Team. 本ドキュメントについて 目的 ARM 用統合開発環境である ARM 用 IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計支援ツールを使用して ST 社評価ボード用のソフトウェアを実際にビルド

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX63N グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX63N 1 / 53 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

TMSx70 MCU の RTI(リアルタイム割り込み)を使用してオペレーティングシステムの Tick を発生させる方法

TMSx70 MCU の RTI(リアルタイム割り込み)を使用してオペレーティングシステムの Tick を発生させる方法 参考資料 Application Report JAJA237 TMSx70 MCU の RTI( リアルタイム割り込み ) を使用してオペレーティングシステムの Tick を発生させる方法 Hari Udayakumar 要約 このアプリケーションノートの目的は T M S x70 シリーズ MCU の RTI モジュールの設定方法の一助となることである TI の TMSx70 ファミリーの MCU

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

MB-LCD1 アセンブラ・ライブラリによる制御

MB-LCD1 アセンブラ・ライブラリによる制御 アプリケーションノート MB-LCD1_2 2005/9 液晶表示ユニット MB-LCD1 アセンブラ ライブラリによる制御 概要 本アプリケーションノートは 液晶表示ユニット MB-LCD1 を制御するアセンブラルーチンを C 言語プログラムでライブラリとして使用する方法を説明するものです 動作環境は以下のとおりとします ターゲットマイコン :SR8C15CP ターゲットボード :MB-RS8 開発環境

More information

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL アプリケーションノート RL78/I1D R01AN3096JJ0101 Rev.1.01 要旨 本アプリケーションノートでは RL78/I1D の中速オンチップ オシレータを利用した UART 通信方法を説明します UART 通信で求められる周波数精度を有する高速オンチップ オシレータを用いて 中速オンチップ オシレータの発振周期を定期的に測定します その測定結果に基づいて UART 通信のボーレートを補正することで

More information

アプリケーションノート MT-R300 割り込みプログラムのデバッグ方法

アプリケーションノート MT-R300 割り込みプログラムのデバッグ方法 アプリケーションノート マイコントレーニングボード MT-R300 割り込みプログラムのモニタデバッグ方法 1. 概要 マイコントレーニングボード MT-R300 に搭載しているルネサステクノロジ社の 16 ビットマイコン HD64F3062BF ( 以下 H8/3062BF マイコン ) では H8/300H 用モニタプログラム ( 以下モニタプログラム ) を内蔵フラッシュメモリに書込むことで

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー 初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コード生成を利用したプログラム作成 ( ポート入力 ) P47 次回 ( 第 4 回 ) は, 以下の内容を予定しています

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 GR-KURUMI-SN( 仮 ) ( センサーネットワークシールド ) 生きてるってなんだろう 見えないものでつながってる がじぇっとるねさす 2013/11/23 Rev. 1.00 もくじ Sensor Network(SN) シールド概要 くるみが一人で測って 計算して データを飛ばす複数のくるみとさくらがつながる サンプルプログラムの使い方 つながる広がるエレクトリカルものづくり Smart

More information

VOID SLAB FOR CONSTRUCTION Winding Pipe VOID SLAB FOR CONSTRUCTION Winding Pipe VOID SLAB FOR CONSTRUCTION Winding Pipe VOID SLAB FOR CONSTRUCTION Winding Pipe VOID SLAB FOR CONSTRUCTION Winding Pipe

More information

マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1

マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1 マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1 入出力ポートAD 変換器 アナログ光センサデジタル入出力ポートマイコンボード マイコンとノート PC の役割 タイマー プログラム メモリ ( アナログ入力をデジタル信号へ変換

More information

M4Gグループ(1)_CEC-A

M4Gグループ(1)_CEC-A アプリケーションノート CEC 制御回路 (CEC-A) 概要 このアプリケーションノートは を使用して CEC 制御回路 (CEC) 機能を用いる製品を開発する際 参考となる資料です 動作確認用またはプログラム開発の参考用にご利用願います 対象サンプルプログラム : CEC_CH_TO_CH 2018-08-29 1 / 18 2018 Toshiba Electronic Devices & Storage

More information

消火まえがき.qxd

消火まえがき.qxd 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 1100 1200 1300 1400 1500 1600 1700 1800 1900 2000 2100 1032MHz 1489MHz 1895MHz 2150MHz 142 143 144 145 146 147

More information

RX ファミリ、M16C ファミリ アプリケーションノート M16CからRXへの置き換えガイド 調歩同期式シリアル通信(UART)編

RX ファミリ、M16C ファミリ アプリケーションノート M16CからRXへの置き換えガイド 調歩同期式シリアル通信(UART)編 アプリケーションノート 要旨 R01AN1859JJ0100 Rev.1.00 本アプリケーションノートでは M16C ファミリのシリアル I/O の UART モードから RX ファミリの SCI の調歩同期式モードへの置き換えについて説明しています 対象デバイス RX ファミリ M16C ファミリ M16C から RX への置き換え例として RX ファミリは RX210 グループを M16C ファミリは

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

EtherCAT サンプルプログラムの実装ガイド(R-IN Engine 搭載製品)

EtherCAT サンプルプログラムの実装ガイド(R-IN Engine 搭載製品) アプリケーションノート RZ/T1 グループ EtherCAT サンプルプログラムの実装ガイド (R-IN Engine 搭載製品 ) R01AN3071JJ0100 Rev.1.00 要旨 本アプリケーションノートでは PLC から産業用 AC サーボなどを制御する場合に利用される EthrCAT 通信における EtherCAT スレーブを RZ/T1 グループで実現するためのサンプルプログラムについて説明します

More information

EtherCAT サンプルプログラムの実装ガイド

EtherCAT サンプルプログラムの実装ガイド アプリケーションノート RZ/T1 グループ R01AN3169JJ0110 Rev.1.10 要旨 本アプリケーションノートでは PLC から産業用 AC サーボなどを制御する場合に利用される EtherCAT 通信における EtherCAT スレーブを RZ/T1 グループで実現するためのサンプルプログラムについて説明します サンプルプログラムの特徴を以下に示します サンプルプログラムは Cortex

More information

RXファミリ 静電容量計測精度向上のためのセンサ補正

RXファミリ 静電容量計測精度向上のためのセンサ補正 アプリケーションノート RX ファミリ R01AN3610JJ0100 Rev.1.00 要旨 本アプリケーションノートは 内部電流制御発振器 ( 以下 ICO) の変動を補正することにより 静電容量式タッチセンサユニット ( 以下 CTSU) の静電容量検出精度を向上させる手順を説明します 本補正は 補正係数を計測データから算出し CTSU のセンサカウンタ値に乗算することで精度向上を図ります 動作確認デバイス

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

Microsoft PowerPoint - dsp12_2006.ppt

Microsoft PowerPoint - dsp12_2006.ppt 第 12 回 信 号 処 理 演 習 割 り 込 み 処 理 プログラミング 教 官 : 小 澤 助 教 授 渡 邉 ( 非 常 勤 講 師 ) 2007/01/25 本 日 の 予 定 ポーリングと 割 り 込 み 割 り 込 み 処 理 の 仕 組 み 割 り 込 み 処 理 による アナログループバックの 作 成 ボイスチェンジャーの 作 成 2 ポーリング (Polling) 目 的 ある

More information

05避難シミュレーション編.PDF

05避難シミュレーション編.PDF 1....5-2 1.1...5-2 1.2...5-2 1.3...5-4 1.4...5-5 1.5...5-8 1.6...5-8 1.7...5-9 1.8...5-19 2....5-21 5-1 5-2 ) ( ) / ( ) / ( ) ( 2 ( ) ( ) 5-3 1-1 5-4 ( 17 3 ) 1 ( 1) 1-2 ( )2 3 ( 5 ) 5 1 5 ( ) ( ) 1-2

More information

RXファミリ 多重割り込みの使い方 アプリケーションノート

RXファミリ 多重割り込みの使い方 アプリケーションノート RAN954JJ Rev.. RX.... 2 2.... 4 3.... 4 4.... 5 5.... 6 6.... 4 7.... 4 RAN954JJ Rev.. Page of 5 . IRQ IRQ3 PSW I PSW I I. #pragma interrupt (enable) : RX22 IRQ #pragma interrupt (Excep_IRQm (enable,vect=65))

More information

RX501NC_LTE Mobile Router取説.indb

RX501NC_LTE Mobile Router取説.indb 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 1 2 3 4 5 6 7 8 19 20 21 22 1 1 23 1 24 25 1 1 26 A 1 B C 27 D 1 E F 28 1 29 1 A A 30 31 2 A B C D E F 32 G 2 H A B C D 33 E 2 F 34 A B C D 2 E 35 2 A B C D 36

More information

Renesas Starter Kit+ for RX62N Software Manual (Japanese)

Renesas Starter Kit+ for RX62N Software Manual (Japanese) User s Manual RX62N グループ 32 Renesas Starter Kit+ ソフトウェアマニュアル ルネサス 32 ビットマイクロコンピュータ RX ファミリ RX600 シリーズ 本資料に記載の全ての情報は本資料発行時点のものであり ルネサスエレクトロニクスは 予告なしに 本資料に記載した製品または仕様を変更することがあります ルネサスエレクトロニクスのホームページなどにより公開される最新情報をご確認ください

More information

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]=

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]= 制御工学 I 前期中間試験問題 June2005 担当小坂 次のプログラムは,H8 モータ実験装置で位置フィードバック + 速度フィードバックを使って, モータ軸をある角度だけ回転させて止めようとするプログラムである 後の問いに答えなさい 001 /********************************************************** 002 プログラムの説明 003

More information

Microsoft PowerPoint - timer_pwm2.pptx

Microsoft PowerPoint - timer_pwm2.pptx タイマー割込みと PWM 周波数設定の演習 timer_pwm2 PSoC Experiment Lab Experiment Course Material V1.20 June 25 th., 2019 timer_pwm2.pptx (17Slides) Renji Mikami MIKAMI CONSULTING タイマー割込みを使用して PWM で音楽を演奏 ラボドレミ タイマーからの割り込みと

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

目次 目次... 1 はじめに... 3 マイコンシミュレータのデバッグ機能... 3 スタートアップコマンドファイル... 5 シミュレータコマンド ( マクロ ) 例... 6 I/O 制御等で外的要因待ちをしている場合 ( その1)... 6 I/O 制御等で外的要因待ちをしている場合 ( そ

目次 目次... 1 はじめに... 3 マイコンシミュレータのデバッグ機能... 3 スタートアップコマンドファイル... 5 シミュレータコマンド ( マクロ ) 例... 6 I/O 制御等で外的要因待ちをしている場合 ( その1)... 6 I/O 制御等で外的要因待ちをしている場合 ( そ マクロ ( シミュレータコマンド ) の使い方 2017 年 05 月 11 日第 1.4.3 版 - 1 - 目次 目次... 1 はじめに... 3 マイコンシミュレータのデバッグ機能... 3 スタートアップコマンドファイル... 5 シミュレータコマンド ( マクロ ) 例... 6 I/O 制御等で外的要因待ちをしている場合 ( その1)... 6 I/O 制御等で外的要因待ちをしている場合

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

ANJ-0003: ADXL345 を用いた歩数計

ANJ-0003: ADXL345 を用いた歩数計 アプリケーション ノート ADXL345 を用いた歩数計 by Tomoaki Tsuzuki コンセプト 本アプリケーションノートに記載する歩数計のアルゴリズムは加速度センサーの設置向きによらず歩数をカウントできるアルゴリズムです 図 1 にアルゴリズムの概要を示します このアルゴリズムは正と負の極性のパルスを検出して歩数をカウントします アルゴリズムは 3 軸分の加速度信号の RSS 値を計算し

More information

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb

<リスト1> AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fb AD コンバータへのデータの出力例 NEC PC98 用 mov al,22h // CLK -> 1, CS -> 0, DI -> 0 out 32h,al // シリアル ポートにデータ出力 PC/AT 互換機用 mov al,00h // CLK -> 1 mov dx,3fbh out dx al // シリアル ポートにデータ出力 mov al,03h // CS -> 0,

More information

Nios II - Vectored Interrupt Controller の実装

Nios II - Vectored Interrupt Controller の実装 ALTIMA Corp. Nios II Vectored Interrupt Controller の実装 ver.1.0 2010 年 7 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 4 3-1. SOPC Builder の設定... 4 3-2. ペリフェラルの設定... 4 3-2-1. VIC の設定... 4 3-2-2.

More information

EtherCAT サンプルプログラムの実装ガイド(R-IN Engine搭載製品)

EtherCAT サンプルプログラムの実装ガイド(R-IN Engine搭載製品) アプリケーションノート RZ/T1 グループ EtherCAT サンプルプログラムの実装ガイド (R-IN Engine 搭載製品 ) R01AN3071JJ0110 Rev.1.10 要旨 本アプリケーションノートでは PLC から産業用 AC サーボなどを制御する場合に利用される EtherCAT 通信における EtherCAT スレーブを RZ/T1 グループで実現するためのサンプルプログラムについて説明します

More information

内蔵メモリ Flash EEPROM - 128K バイト (S1C17501F0A) - 96K バイト (S1C17501F1A) RAM - 4K バイト - 2K バイト ( バッテリーバックアップ可能 ) 動作クロック メインクロック - 48MHz USB を使用する場合 - 1~48M

内蔵メモリ Flash EEPROM - 128K バイト (S1C17501F0A) - 96K バイト (S1C17501F1A) RAM - 4K バイト - 2K バイト ( バッテリーバックアップ可能 ) 動作クロック メインクロック - 48MHz USB を使用する場合 - 1~48M CMOS 16-bit Application Specific Controller 16 ビット RISC CPU コア S1C17(Max. 48MHz 動作 ) 128K バイト /96K バイト Flash ROM, 4K バイト + 2K バイト RAM(2KB は独立電源で動作可能 ) 積和演算機能 (16 ビット 16 ビット + 32 ビット MAC) USB FS デバイスコントローラを搭載

More information

<4D F736F F D208A4A94AD835A B F825394B290882E646F63>

<4D F736F F D208A4A94AD835A B F825394B290882E646F63> BCRL78104 マイコン開発開発セットマニュアル 第 1 版 2014.1.13 第 1 版 製品概要 本マニュアルはBCRL78104 CPUボードのソフトウエア開発を行うために必要なソフトウエアインストゥール手順 添付 CDのサンプルプログラムの動作について解説されています 特に新しい統合開発環境 CubeSuite+(CS+) における開発方法について多く記述してあります 本 CPUボード開発にはルネサスエレクトロニクス社製

More information

1 1 TA, ,9 1. ( 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test)

1 1 TA, ,9 1. (  2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) 1 1 TA, 20 10 6,9 1. (http://www.cyb.mei.titech.ac.jp/2008ss2/main.htm) 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) H src c startup ncrt0 ss2.a30 sect30 ss2.inc 1 1 /

More information

スレーブ用システム設計ガイド アプライアンス社モータビジネスユニット 2012/2/15 Rev. 2 Page 1

スレーブ用システム設計ガイド アプライアンス社モータビジネスユニット 2012/2/15 Rev. 2 Page 1 スレーブ用システム設計ガイド アプライアンス社モータビジネスユニット 2012/2/15 Rev. 2 Page 1 変更履歴 Revision 日付変更内容 1 2006/2/2 初版 2 2012/2/15 P1 タイトルを ファームウェア開発ガイド ( スレーブ用 ) から変更 P3 はじめに を追加 P5 明確化のため ブロック図を修正 MNM1221ブロック図を削除 P7 XSYNC 出力タイミングを追加

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

00-COVER.P65

00-COVER.P65 AHA-2910C R AHA-2910C PCI-to-Fast SCSI 1998 Adaptec, Inc. All rights reserved. Adaptec, Inc., 691 South Milpitas Blvd., Milpitas, CA 95035 Adaptec Adaptec Adaptec AHA PhaseEngine SCSISelect Adaptec Adaptec

More information

R8C/38Aマイコン R8C/35Aマイコン 制御ライブラリ解説マニュアル

R8C/38Aマイコン R8C/35Aマイコン 制御ライブラリ解説マニュアル ルネサスエレクトロニクス製 R8C/38A マイコン R8C/35A マイコン制御ライブラリ解説マニュアル R8C/35A について 本マニュアルでは 主に R8C/38A マイコンを使った場合について説明していますが R8C/35A もほぼ同じです マニュアル内の 38 は 35 と読み替えて 進めてください 第 1.04 版 2018.03.13 株式会社日立ドキュメントソリューションズ 注意事項

More information

赤外線受光モジュール C言語リモコンプログラム解説マニュアル

赤外線受光モジュール C言語リモコンプログラム解説マニュアル 赤外線受光モジュール C 言語リモコンプログラム解説マニュアル 第 1.01 版 2015 年 4 月 20 日株式会社日立ドキュメントソリューションズ 注意事項 (rev.6.0h) 著作権 本マニュアルに関する著作権は株式会社日立ドキュメントソリューションズに帰属します 本マニュアルは著作権法および 国際著作権条約により保護されています 禁止事項 ユーザーは以下の内容を行うことはできません 第三者に対して

More information

(Microsoft PowerPoint - \221g\202\335\215\236\202\335\203\\\203t\203g\203E\203F\203A\215H\212w No02\201i\224z\225z\227p\201j.pptx)

(Microsoft PowerPoint - \221g\202\335\215\236\202\335\203\\\203t\203g\203E\203F\203A\215H\212w No02\201i\224z\225z\227p\201j.pptx) 前回の講義のおさらい 組み込みソフトウェア工学 第 2 回組み込み OS の基本とその特徴 どんなものが組み込みシステムなのか? 身の回りの組み込み機器 インフラ系の組み込み機器 組み込みシステムのソフトウェア 人の持つノウハウ, すばやい処理, 複数の処理 組み込みシステムの定義 ハードウェアとソフトウェアが緊密に統合されたコンピュータシステム ハードウェア, ソフトウェアの共同開発 1 本日の内容

More information

TOPPERS活用アイデア・アプリケーション開発

TOPPERS活用アイデア・アプリケーション開発 TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : シュリンク版 TOPPERS/SSP と それを利用した タミヤラジコン改造 RaspberryPi スマホリモコンカー 作成者 : アライブビジョンソフトウェア株式会社髙橋和浩 対象者 : 使用する開発成果物 : TOPPERS/SSP 目的 狙い 1. アドオン方式のリアルタイムカーネルシュリンク版

More information

RX コード生成 V リリースノート

RX コード生成 V リリースノート R20UT3738JJ0100 Rev.1.00 この度は, 統合開発環境 CS+ をご使用いただきまして, 誠にありがとうございます この添付資料では, 本製品をお使いいただく上での制限事項および注意事項等を記載しております ご使用の前に, 必ずお読みくださいますようお願い申し上げます 目次 第 1 章対象デバイスについて... 3 第 2 章動作確認条件... 8 第 3 章ユーザーズ マニュアルについて...

More information

まず,13 行目の HardwareTimer Timer(1); は,HardwareTimer というクラスを利用するという宣言である. この宣言によって Timer というインスタンスが生成される.Timer(1) の 1 は,OpenCM に 4 個用意されているタイマのうち,1 番のタイマ

まず,13 行目の HardwareTimer Timer(1); は,HardwareTimer というクラスを利用するという宣言である. この宣言によって Timer というインスタンスが生成される.Timer(1) の 1 は,OpenCM に 4 個用意されているタイマのうち,1 番のタイマ 8 タイマ割り込みを使ってみよう割り込み (Interrupt) とは, 言葉の意味の通り, ある作業中に割り込むことである. マイコンにおいてはとても重要な機能の一つである. 例えば, インスタントカップ麺にお湯を入れて 3 分間待ってから食べることを想像してみよう. お湯を入れてカップ麺ができるまでの 3 分間, 時計の針だけを見つめ続けて, 他には何にもせずに待ち続ける人はほとんどいないだろう.

More information

RX スマート・コンフィグレータ ユーザーガイド: e² studio編

RX スマート・コンフィグレータ ユーザーガイド: e² studio編 アプリケーションノート RX スマート コンフィグレータ R20AN0451JS0120 Rev.1.20 要旨 本アプリケーションノートでは e² studio のプラグインツールである RX スマート コンフィグレータ ( 以下 スマート コンフィグレータと略す ) の基本的な使用方法について説明します 統合開発環境 e² studio の対象バージョンは以下の通りです e² studio 7.0.0

More information

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が STM32L_LS027B4DH01 の説明 V002 2014/03/30 STM32L-Discovery 用に作成した LCD LS027B4DH01 に ASCII 文字表示を行うプログラムです Free の開発ツール Atollic TrueSTUDIO for ARM Lite ( 試用版 ) で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です

More information

PIC24F Family Reference Manual Section 9 WDT

PIC24F Family Reference Manual Section 9 WDT 第 9 章 (WDT) ハイライト 本章では次のトピックについて説明します 9.1 はじめに... 9-2 9.2 WDT の動作... 9-2 9.3 レジスタマップ... 9-5 9.4 設計の秘訣... 9-6 9.5 関連するアプリケーションノート... 9-7 9.6 改版履歴... 9-8 9 2007 Microchip Technology Inc. Advance Information

More information

RX113グループ アプリケーションノート CTSU 相互容量方式タッチ計測

RX113グループ アプリケーションノート CTSU 相互容量方式タッチ計測 R30AN0217JJ0101 Rev.1.01 要旨 は タッチ電極と人体の間に発生する静電容量を測定することで人体の接触を感知するハードウェア (Capacitive Touch Sensor Unit :CTSU) を内蔵しています 本アプリケーションノートでは CTSU に実装された相互容量方式タッチキーのソフトウェアについて説明します 対象デバイス 本アプリケーションノートを他のマイコンへ適用する場合

More information

AN

AN Cypress ( ) FR Family FR80 MB91660Series 外部バスアクセスによる 蛍光表示管モジュール制御方法 注意事項 本資料の記載内容は 予告なしに変更することがありますので ご用命の際は営業部門にご確認ください 本資料に記載された動作概要や応用回路例は 半導体デバイスの標準的な動作や使い方を示したもので 実際に使用する機器での動作を保証するものではありません したがいまして

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

1 Atollic TrueSTUDIO( GR-PEACH TOPPERS/ASP ASP GR-PEACH mbed ( git

1 Atollic TrueSTUDIO(  GR-PEACH TOPPERS/ASP ASP GR-PEACH mbed (  git TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : がじぇるね IoT 部門 作品のタイトル : m ~ 組込みマイコン向け 軽量実行環境 ~ 作成者 : 森智也 ( 京都大学 ) 共同作業者 : 高瀬英希 ( 京都大学 ) 対象者 : ロボットシステム開発者 組込みシステム開発者 使用する開発成果物 : TOPPERS/ASP カーネル https://github.com/ncesnagoya/asp-gr_peach_gcc-mbed.git

More information