DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

Size: px
Start display at page:

Download "DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2"

Transcription

1 () 1

2 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

3 (Lunar Impact Flush) Tri () Tri () Lyr () S v GA d A Velilog HDL 28 3

4 1 1.1 DELPHINUS( DLP) 2019 NASA SLS DLP FPGA(Field Programmable Gate Array) 4 5*5 FPGA 1: EQUULEUS DELPHINUS 1.2 (Lunar Impact Flush) cm cmm ( ) 2: () 4

5 1.3 DLP FPGA 60fps 1/60 3: DELPHINUS 5

6 2 2.1 ( TLP-78) mw nm 5 m 8 mm 10 mm 4: 5: 6

7 2.2 Xilinx ZYBO( 6 ) Velilog HDL Vivado Xilinx.SDK () : ZYBO 7

8 2.3 ( 7 ) 8 mm 10 mm(5 m ) 0.5 mm 1/1000 7: 2.4 () 0.3 W ( / ) 8: 8

9 2.5 ( KT-) () 9: () () 5 ms : 9

10 3 11: (1) ( 80 s) 11 (3) (2) () (4) BMC 10

11 3.2 ( 12 ) 12: 13: 30 11

12 3.3 (, USB4000) (3100 K ) ( 14 ) 14: : 12

13 K 3100 K (3100K) 16: : nm nm 99% 13

14 4 (Point Grey GS3-U3-15S5M) DLP ( 35.7 mm 50 mm) SONY ICX S v GA d 18: 4.1 g star B(λ) [4] B-V [9] B V = (1) T K[7] g s B(λ) C s [7] g s B 5777 (λ)dλ = g s σt 4 = C s (2) g s = σ R ( [4]) m star m s R f R (λ) R m star m s = 2.5log 10 g star BT (λ)f R (λ)dλ g s B5777 (λ)f R (λ)dλ g star B T (λ) ICX825 h c D (35.7mm) t (16.7ms)q(λ) ICX825 [7] Ne star = gbt (λ) (hc/λ) π(d/2)2 ICX825 (λ)dλ (4) S v [V/e ]G A d AD [/V] n = Ne ICX825 S v GA d (5) S v GA d (3) 14

15 4.2 Tri () Tri() ms 7.6 fps () 19: Tri () B-V = 0.15( [9]) (1) 8300 (1) Tri() R 2.86(Simbat[8]) (3) g star = (4) Ne=23310 n = Ne = S v GA d = Tri () Tri() ms 7.6 fps () 20: Tri () B-V = 0.019( [9]) (1) 8600 V 4.03(Simbat[8]) (3) g star = Tri() R V (4) Ne=8070 n = Ne = 8070 S v GA d =

16 4.4 Lyr () Lyr () ms 7.6 fps () 21: Lyr () B-V = 0.001( [9]) (1) 9600 R 0.07(Simbat[8]) (3) g star = Ne= n = Ne = S v GA d = S v GA d Tri Tri Tri Tri R 2.86 (4.03) 0.07 B-V A5III A1V A0V S v GA d S v GA d =

17 5 22 Point Grey GS3-U3-15S5 DLP ( 35.7mm 50mmF 1.4) SONY ICX825( 6.45 m) 100ms 7.6fps 1 9 ms ms 900 FireCaputure(ver2.5) 22: 5.1 S v GA d 1800 FireCaputure(ver2.5) () 6 ms 9 fps 23: dB FireCaputure 900=9dB1800=18dB 17

18 ms7.6 fps 24: (4 ) 25 () 25: 18

19 ms7.6 fps 26: (3 ) 27 () 27: 19

20 ms7.6 fps 28: (2 ) 29 () 29: 20

21 ms7.6 fps 30: (1 ) : 21

22 K g f B 3000 (λ) R [6] f R (λ) () m f m s (= 27.29) R g s = (4.1 ) g f B3000 (λ)f R (λ)dλ m f m s = 2.5log 10 (6) g s B5777 (λ)f R (λ)dλ g f Ne ICX424 q ICX424 (λ) DLP ICX424AL [1]h c D (35.7mm) t (16.7ms) gf B 3000 (λ) Ne ICX424 = π(d/2) 2 t ICX424 (λ)dλ (7) (hc/λ) (6)(7) DLP 32: - 22

23 6.2 n 33: n ICX825 N e S v GA d = 2.25() Ne ICX825 = n (8) 2.25 E pulse (λ) h c q ICX825 (λ) ICX825 E pulse (λ) Ne ICX825 = Epulse (λ) (hc/λ) ICX825(λ)dλ (9) 23

24 (9) E pulse (λ)dλ (E pulse (λ) ) 34: DLP q ICX424 (λ) ICX424AL Ne ICX424 = Epulse (λ) (hc/λ) ICX424(λ)dλ (10) 35: - 24

25 DLP 36: - 36 (ms) DLP 1/60 s(=16.7ms) 4 [10] DLP 14 25

26 ISAS/JAXA 26

27 [1] SONY ICX424AL Data Sheet, SONY/ICX424AL.html( ). [2] T065,. [3],,,2016, 14 p [4],2003, 4 p55, 8 p132,133. [5],,,,,p96. [6] Bessell, M. S. : Standard Photometric Systems, Annu. Rev. Astron. Astrophys. 43, p (2005). [7] Quantum Efficiency Curve for ICX825 Point Gray, ( ). [8] SIMBAD Astronomical Database. [9] 9. [10],, DLP/EQUULEUS,

28 A Velilog HDL Listing 1: // Copyright Xilinx, Inc. All Rights Reserved. // // Tool Version : Vivado v ( win32 ) Build Tue Nov : 0 6 : 2 0 MST 2014 // Date : Tue Oct : 0 7 : // Host : yanagi PC running 32 b i t S e r v i c e Pack 1 ( b u i l d 7601) //Command : g e n e r a t e t a r g e t design 1 wrapper. bd // Design : design 1 wrapper // Purpose : IP block n e t l i s t // t i m e s c a l e 1 ps / 1 ps module design 1 wrapper (DDR addr, DDR ba, DDR cas n, DDR ck n, DDR ck p, DDR cke, DDR cs n, DDR dm, DDR dq, DDR dqs n, DDR dqs p, DDR odt, DDR ras n, DDR reset n, DDR we n, FIXED IO ddr vrn, FIXED IO ddr vrp, FIXED IO mio, FIXED IO ps clk, FIXED IO ps porb, FIXED IO ps srstb, clk, r e s e t, sw0, sw1, sw2, output enable, led, pulse, n o i s e ) ; input c l k ; input r e s e t ; input sw0 ; input sw1 ; input sw2 ; input output enable ; output [ 3 : 0 ] l e d ; output p u l s e ; output n o i s e ; inout [ 1 4 : 0 ] DDR addr ; 28

29 inout [ 2 : 0 ] DDR ba ; inout DDR cas n ; inout DDR ck n ; inout DDR ck p ; inout DDR cke ; inout DDR cs n ; inout [ 3 : 0 ] DDR dm; inout [ 3 1 : 0 ] DDR dq ; inout [ 3 : 0 ] DDR dqs n ; inout [ 3 : 0 ] DDR dqs p ; inout DDR odt ; inout DDR ras n ; inout DDR reset n ; inout DDR we n ; inout FIXED IO ddr vrn ; inout FIXED IO ddr vrp ; inout [ 5 3 : 0 ] FIXED IO mio ; inout FIXED IO ps clk ; inout FIXED IO ps porb ; inout FIXED IO ps srstb ; wire [ 1 4 : 0 ] DDR addr ; wire [ 2 : 0 ] DDR ba ; wire DDR cas n ; wire DDR ck n ; wire DDR ck p ; wire DDR cke ; wire DDR cs n ; wire [ 3 : 0 ] DDR dm; wire [ 3 1 : 0 ] DDR dq ; wire [ 3 : 0 ] DDR dqs n ; wire [ 3 : 0 ] DDR dqs p ; wire DDR odt ; wire DDR ras n ; wire DDR reset n ; wire DDR we n ; wire FIXED IO ddr vrn ; wire FIXED IO ddr vrp ; wire [ 5 3 : 0 ] FIXED IO mio ; wire FIXED IO ps clk ; wire FIXED IO ps porb ; wire FIXED IO ps srstb ; d e s i g n 1 d e s i g n 1 i (. DDR addr ( DDR addr ),. DDR ba(ddr ba),. DDR cas n ( DDR cas n ),. DDR ck n ( DDR ck n ),. DDR ck p ( DDR ck p ),. DDR cke ( DDR cke ),. DDR cs n ( DDR cs n ),.DDR dm(ddr dm),. DDR dq(ddr dq),. DDR dqs n ( DDR dqs n ),. DDR dqs p ( DDR dqs p ),. DDR odt(ddr odt ),. DDR ras n ( DDR ras n ),. DDR reset n ( DDR reset n ),. DDR we n(ddr we n ), 29

30 . FIXED IO ddr vrn ( FIXED IO ddr vrn ),. FIXED IO ddr vrp ( FIXED IO ddr vrp ),. FIXED IO mio ( FIXED IO mio ),. FIXED IO ps clk ( FIXED IO ps clk ),. FIXED IO ps porb ( FIXED IO ps porb ),. FIXED IO ps srstb ( FIXED IO ps srstb ) ) ; p u l s e g e n e p u l s e g e n e i ( ) ; endmodule. c l k ( c l k ), //. r e s e t ( r e s e t ),. sw0 ( sw0 ),. sw1 ( sw1 ),. sw2 ( sw2 ),. output enable ( output enable ),. l e d ( l e d ),. p u l s e ( p u l s e ) / /. n o i s e ( n o i s e ) t i m e s c a l e 1 ns / 1 ps ////////////////////////////////////////////////////////////////////////////////// // Company : // Engineer : // // Create Date : 2017/11/ : 3 7 : 3 4 // Design Name : // Module Name : p u l s e g e n e // P r o j e c t Name : // Target Devices : // Tool Versions : // D e s c r i p t i o n : // // Dependencies : // // Revision : // Revision 0.01 F i l e Created // Additional Comments : // ////////////////////////////////////////////////////////////////////////////////// module p u l s e g e n e ( input clk, input r e s e t, input sw0, input sw1, input sw2, input output enable, output [ 3 : 0 ] led, output p u l s e ) ; //OUTPUT TIME STATE < CNT STOP < CNT CYCLE parameter CNT STOP = 2 7 d ; / / parameter CNT CYCLE = 27 d ;//200ms parameter OUTPUT TIME STATE1 = 2 7 d ; parameter OUTPUT TIME STATE2 = 27 d ; //1ms //1.5ms 30

31 parameter OUTPUT TIME STATE3 = 27 d ;//2ms parameter OUTPUT TIME STATE4 = 27 d ;//3ms parameter OUTPUT TIME STATE5 = 27 d ;//6ms parameter OUTPUT TIME STATE6 = 27 d ;//14ms parameter OUTPUT TIME STATE7 = 2 7 d ; parameter STATE0 = 3 b000 ; parameter STATE1 = 3 b001 ; parameter STATE2 = 3 b010 ; parameter STATE3 = 3 b011 ; parameter STATE4 = 3 b100 ; parameter STATE5 = 3 b101 ; parameter STATE6 = 3 b110 ; parameter STATE7 = 3 b111 ; reg [ 2 6 : 0 ] r c n t ; reg r p u l s e ; reg [ 3 : 0 ] now state ; reg [ 3 : 0 ] n e x t s t a t e ; //200ms //STATE1, 2, 3, 4, 5, 6 ( ) always@ ( posedge clk, negedge r e s e t ) i f ( r e s e t output enable ) begin r c n t <= 27 h ; end e l s e i f ( r c n t == CNT CYCLE) begin r c n t <= 27 h ; end e l s e i f ( r c n t == CNT STOP &&(now state == STATE1 now state == STATE2 STATE3 now state == STATE4 now state == STATE5 now state == STATE6) ) r c n t <= r c n t ; end e l s e i f ( now state == STATE0) begin r c n t <= 27 h ; end e l s e begin r c n t <= r c n t +27 d1 ; end // posedge clk, negedge r e s e t ) i f ( r e s e t ) begin now state <= STATE0; end e l s e begin now state <= n e x t s t a t e ; end //STATE0 //STATE1, 2, 3, 4, 5, 6 //STATE7 now state, sw0, sw1, sw2 ) case ( now state ) STATE0 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE1; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE2; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE4; e l s e n e x t s t a t e <= STATE0; STATE1 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE3; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE5; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE0; e l s e n e x t s t a t e <= STATE1; STATE2 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE3; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE6; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE0; 31

32 e l s e n e x t s t a t e <= STATE2; STATE3 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE7; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE2; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE1; e l s e n e x t s t a t e <= STATE3; STATE4 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE5; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE6; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE0; e l s e n e x t s t a t e <= STATE4; STATE5 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE7; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE4; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE1; e l s e n e x t s t a t e <= STATE5; STATE6 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE7; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE4; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE2; e l s e n e x t s t a t e <= STATE6; STATE7 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE6; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE5; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE3; e l s e n e x t s t a t e <= STATE7; d e f a u l t : n e x t s t a t e <= STATE0; endcase // always@ ( posedge c l k ) i f ( now state == STATE0) begin r p u l s e <= 1 b0 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE1 && now state == STATE1 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE2 && now state == STATE2 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE3 && now state == STATE3 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE4 && now state == STATE4 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE5 && now state == STATE5 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE6 && now state == STATE6 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE7 && now state == STATE7 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e begin r p u l s e <= 1 b0 ; end // a s s i g n l e d [ 2 : 0 ] = now state [ 2 : 0 ] ; a s s i g n l e d [ 3 ] = output enable ; a s s i g n p u l s e = r p u l s e & output enable ; endmodule 32

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

ハピタス のコピー.pages

ハピタス のコピー.pages Copyright (C) All Rights Reserved. 10 12,500 () ( ) ()() 1 : 2 : 3 : 2 4 : 5 : Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All

More information

Copyright 2008 All Rights Reserved 2

Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 1 Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 3 Copyright 2008 All Rights Reserved 4 Copyright 2008 All Rights Reserved 5 Copyright 2008 All

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

初心者にもできるアメブロカスタマイズ新2016.pages

初心者にもできるアメブロカスタマイズ新2016.pages Copyright All Rights Reserved. 41 Copyright All Rights Reserved. 60 68 70 6 78 80 Copyright All Rights Reserved. FC2 97 Copyright All Rights Reserved. Copyright All Rights Reserved. Copyright All Rights

More information

- 2 Copyright (C) 2006. All Rights Reserved.

- 2 Copyright (C) 2006. All Rights Reserved. - 2 Copyright (C) 2006. All Rights Reserved. 2-3 Copyright (C) 2006. All Rights Reserved. 70-4 Copyright (C) 2006. All Rights Reserved. ...1...3...7...8 1...9...14...16 2...18...20...21 3...22...23...23...24

More information

Copyright 2008 NIFTY Corporation All rights reserved. 2

Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 3 Copyright 2008 NIFTY Corporation All rights reserved. 4 Copyright 2008 NIFTY Corporation

More information

Copyright All Rights Reserved. -2 -!

Copyright All Rights Reserved. -2 -! http://ameblo.jp/admarketing/ Copyright All Rights Reserved. -2 -! Copyright All Rights Reserved. -3- Copyright All Rights Reserved. -4- Copyright All Rights Reserved. -5 - Copyright All Rights Reserved.

More information

IPA:セキュアなインターネットサーバー構築に関する調査

IPA:セキュアなインターネットサーバー構築に関する調査 Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved.

More information

Microsoft Word - 最終版 バックせどりismマニュアル .docx

Microsoft Word - 最終版 バックせどりismマニュアル .docx ism ISM ISM ISM ISM ISM ISM Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. ISM Copyright (c) 2010 All Rights Reserved. Copyright

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Copyright 2009, SofTek Systems, Inc. All rights reserved.

Copyright 2009, SofTek Systems, Inc. All rights reserved. PGI Visual Fortran Release 9.0 SofTek Copyright 2009, SofTek Systems, Inc. All rights reserved. \\\ \\ \\\ \\ \\ SofTek Systems, Inc \ SofTek Systems, Inc SofTek Systems, Inc SofTek Systems, Inc SofTek

More information

untitled

untitled mitsuya Copyright (C) 2007. All Rights Reserved. 1/1 mitsuya Copyright (C) 2007. All Rights Reserved. 2/2 mitsuya Copyright (C) 2007. All Rights Reserved. 3/3 mitsuya Copyright (C) 2007. All Rights Reserved.

More information

Copyright Qetic Inc. All Rights Reserved. 2

Copyright Qetic Inc. All Rights Reserved. 2 Copyright Qetic Inc. All Rights Reserved. 2 Copyright Qetic Inc. All Rights Reserved. 4 35% Copyright Qetic Inc. All Rights Reserved. 9 Copyright Qetic Inc. All Rights Reserved. 11 Copyright Qetic

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

how-to-decide-a-title

how-to-decide-a-title Contents 3 4 5 6 8 13 13 14 14 15 15 18 19 Copyright 2014 All Rights Reserved. 2 / 21 URL AdobeReader ( ) http://www.adobe.co.jp/products/acrobat/readstep2.html Copyright 2014 All Rights Reserved. 3 /

More information

N12866N2P-H.PDF

N12866N2P-H.PDF 16Mx64bits PC133 SDRAM SO DIMM Based on 16Mx16 SDRAM with LVTTL, 4 banks & 8K Refresh (16M x 16bit) /. / 1 A0 ~ A12 BA0, BA1 CK0, CK1 CKE0 /S0 /RAS /CAS /WE DQM0 ~ DQM7 DQ0 ~ DQ63 SA0~2 SDA SCL VCC 3.3

More information

h1_h4_20160219

h1_h4_20160219 NTTPC Copyright 2016 NTT PC Communications Incorporated, All Rights Reserved. Email : msone@nttpc.co.jp U R L : http://www.nttpc.co.jp/service/mastersone/ POINT4 POINT4 1 2 1 2 3 1 1 2 2 POINT4 1 2

More information

12-7 12-7 12-7 12-7 12-8 12-10 12-10 12-10 12-11 12-12 12-12 12-14 12-15 12-17 12-18 10 12-19 12-20 12-20 12-21 12-22 12-22 12-23 12-25 12-26 12-26 12-29 12-30 12-30 12-31 12-33 12-34 12-3 12-35 12-36

More information

ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』

ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』 : Spartan-3 FPGA XAPP454 (v1.1.1) 2007 6 11 Spartan-3 FPGA DD2 SDAM : Karthikeyan Palanisamy Spartan -3 DD2 SDAM Micron DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD SDAM DD2 SDAM DD SDAM DD2 SDAM SSTL

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

健康保険組合のあゆみ_top

健康保険組合のあゆみ_top (1912) (1951) 2,00024,000 (1954) (1958) (1962) (1965) (1968) (1969) (1971) (1972) (1973) (1974) (1976) (1978) (1980) (1982) (1983) (1984) (1985) (1987) (1988) (1989) (1990) (1991) (1992) (1994) (1995)

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

1

1 1 2 VersionS 140mm 180mm 280mm 3,715mm 3,805mm 3,905mm 3 VersionS 4 5 VersionS VersionS 6 VersionS 7 8 VersionS VersionS 9 10 VersionS 16,500 5,350 70 2,740 2,310 540 490 5,850 8,600 4,8505,110 1,0501,050

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

1 Point 2 Point 3 Point 5 490,000 10 20 25 35 1,059,000 2,235,500 2,890,500 4,449,500 777,000 1,554,000 3,108,000 3,885,000 3,885,000 4,132,000106.3 6

1 Point 2 Point 3 Point 5 490,000 10 20 25 35 1,059,000 2,235,500 2,890,500 4,449,500 777,000 1,554,000 3,108,000 3,885,000 3,885,000 4,132,000106.3 6 '16-WE07-091 201605 1 Point 2 Point 3 Point 5 490,000 10 20 25 35 1,059,000 2,235,500 2,890,500 4,449,500 777,000 1,554,000 3,108,000 3,885,000 3,885,000 4,132,000106.3 63.0 68.1 71.9 74.4 114.5 40 500

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Yahoo Listing Ads - Ad Serving Policy 2012 9 1 1. Cloaking Yahoo! Copyright 2012 Yahoo Japan Corporation. All Rights Reserved. 1

Yahoo Listing Ads - Ad Serving Policy 2012 9 1 1. Cloaking Yahoo! Copyright 2012 Yahoo Japan Corporation. All Rights Reserved. 1 Yahoo Listing Ads - Ad Serving Policy 2012 9 Yahoo! 2012 9 Ad Serving Policy Copyright 2012 Yahoo Japan Corporation. All Rights Reserved. Yahoo Listing Ads - Ad Serving Policy 2012 9 1 1. Cloaking Yahoo!

More information

[FX11]シリーズカタログ

[FX11]シリーズカタログ May.1.218 Copyright 218 HIROSE ELECTRIC CO., LTD. All Rights Reserved. ICR (db) 6 5 4 3 2 ICR 3mm(Without GND) 1 ICR IEEEspec 1 2 3 4 5 6 7 8 9 1 Frequency (GHz) Z (Ohm) 12 115 11 15 1 95 9 Impedance 3mm(Without

More information

untitled

untitled PrimeStage Video-CMS 2 3 4 5 6 7 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 copyright(c) TOEI Company, Ltd. All rights reserved. Copyright NTT Plala Inc. All rights reserved.

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

弥生会計/やよいの青色申告

弥生会計/やよいの青色申告 c c c c c 1 c c c c c c c c c c c 2 3 c c 4 a a a a a a a a a

More information

① Copyright 2005 Impex.,inc. All Rights Reserved 1 Copyright 2005 Impex.,inc. All Rights Reserved 2 Copyright 2005 Impex.,inc. All Rights Reserved 3 Copyright 2005 Impex.,inc. All Rights Reserved 4 Copyright

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

untitled

untitled 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 3_2. 24 25 26 27 28 29 30 31 32 33 CSV 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

基本 CMYK

基本 CMYK ISO14000 1191 14910 625mm 9mm 1500mm L5L50L95L10 L50L90 LED LED 30m 100m 22kg 40m/s 610mm 1LED 40m/s S L5L50L95L10L50L90 500S2000 523) () 1 2 3 4 136 12 12 12 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi ALTIMA Company, MACNICA, Inc. HDL Designer Series Ver. 2016.2 2017 7 Rev.1 ELSENA,Inc. 1. 2. 3....3 HDL Designer Series...3...4 3-1. 3-2. SupportNet... 4... 5 4....6 4-1. 4-2.... 6 GUI... 6 5. HDL Designer

More information

EPSON EHDMC10 ユーザーズガイド

EPSON EHDMC10 ユーザーズガイド Wireless Mirroring Adapter EHDMC10 ユーザーズガイド 2 EHDMC10 6 8 8 DVD MOVERIO Source 11 MOVERIO Sink 18 25 26 28 29 29 Copyright 2017 Seiko Epson Corporation. All rights reserved. 2017.04 2 AC 3 4 22cm MOVERIO

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

Copyright 2006 KDDI Corporation. All Rights Reserved page1

Copyright 2006 KDDI Corporation. All Rights Reserved page1 Copyright 2006 KDDI Corporation. All Rights Reserved page1 Copyright 2006 KDDI Corporation. All Rights Reserved page2 Copyright 2006 KDDI Corporation. All Rights Reserved page3 Copyright 2006 KDDI Corporation.

More information

2

2 DX Simulator Copyright 2001-2002 Yamaha Corporation. All rights reserved. Version 1.2, 2002 YAMAHA CORPORATION 2 z x z x c 3 z Windows Macintosh Windows Macintosh x 4 z Windows Macintosh Windows Macintosh

More information

1000 Copyright(C)2009 All Rights Reserved - 2 -

1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 1 - 1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 3 - 1000 Copyright(C)2009 All Rights Reserved - 4 - 1000 Copyright(C)2009

More information

10 117 5 1 121841 4 15 12 7 27 12 6 31856 8 21 1983-2 - 321899 12 21656 2 45 9 2 131816 4 91812 11 20 1887 461971 11 3 2 161703 11 13 98 3 16201700-3 - 2 35 6 7 8 9 12 13 12 481973 12 2 571982 161703 11

More information

0.45m1.00m 1.00m 1.00m 0.33m 0.33m 0.33m 0.45m 1.00m 2

0.45m1.00m 1.00m 1.00m 0.33m 0.33m 0.33m 0.45m 1.00m 2 24 11 10 24 12 10 30 1 0.45m1.00m 1.00m 1.00m 0.33m 0.33m 0.33m 0.45m 1.00m 2 23% 29% 71% 67% 6% 4% n=1525 n=1137 6% +6% -4% -2% 21% 30% 5% 35% 6% 6% 11% 40% 37% 36 172 166 371 213 226 177 54 382 704 216

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Copyright 2008 KOZO KEIKAKU ENGINEERING Inc. All Rights Reserved. http://www.kke.co.jp Copyright 2008 KOZO KEIKAKU ENGINEERING Inc. All Rights Reserved. http://www.kke.co.jp 1 Copyright 2008 KOZO KEIKAKU

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

DS

DS FUJITSU SEMICONDUCTOR DATA SHEET DS4 272 1 ASSP (AC / DC ) BIPOLAR, IC,, 2 ma, 5 V SOP 16 1 AC/DC Copyright 1986-211 FUJITSU SEMICONDUCTOR LIMITED All rights reserved 211.5 (TOP VIEW) IN1 1 16 IN2 IN1

More information

FPGAによる24時間時計回路

FPGAによる24時間時計回路 の設計 通信処理ネットワーク研究室 10ec062 志村貴大 1. まえがき今回 24 時間時計回路の設計を行った理由は FPGA を用いた論理回路設計の基礎を学ぶにあたり ハード及びソフト双方の基本技術を一度に習得できる題材であると推測したためである 24 時間時計を構成するモジュールの設計を終えた今 その推測は正しかったものと自負している 本レポートは 復習を兼ねた制作記録としてだけではなく 自分と同じ回路設計初心者が学習の参考にできるものにしたいと考えている

More information

! Copyright 2015 sapoyubi service All Rights Reserved. 2

! Copyright 2015 sapoyubi service All Rights Reserved. 2 ! Copyright 2015 sapoyubi service All Rights Reserved. 2 ! Copyright 2015 sapoyubi service All Rights Reserved. 3 Copyright 2015 sapoyubi service All Rights Reserved. 4 ! Copyright 2015 sapoyubi service

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

PCオーディオ活用ガイド

PCオーディオ活用ガイド Profile 1965 1989 2004 DTM AV Watch DTM AllAbout Master of RecordBNN DTM MOKSFORME copyright 2011 by Synthax Japan Inc. All rights reserved. Contents PC USB -DAC Fireface CD MD DAT 4.0ch 4 6 8 10 12 14

More information

report03_amanai.pages

report03_amanai.pages -- Monthly Special Interview 03 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED. 1 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED. 2 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED. 3 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED.

More information

report05_sugano.pages

report05_sugano.pages - - Monthly Special Interview 05 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED. 1 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED. 2 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED. 3 COPYRIGHT 2015 NBC. ALL RIGHTS RESERVED.

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

KDDI

KDDI Copyright 2007 KDDI Corporation. All Rights Reserved page.1 Copyright 2007 KDDI Corporation. All Rights Reserved page.2 Copyright 2007 KDDI Corporation. All Rights Reserved page.3 Copyright 2007 KDDI Corporation.

More information

II (No.2) 2 4,.. (1) (cm) (2) (cm) , (

II (No.2) 2 4,.. (1) (cm) (2) (cm) , ( II (No.1) 1 x 1, x 2,..., x µ = 1 V = 1 k=1 x k (x k µ) 2 k=1 σ = V. V = σ 2 = 1 x 2 k µ 2 k=1 1 µ, V σ. (1) 4, 7, 3, 1, 9, 6 (2) 14, 17, 13, 11, 19, 16 (3) 12, 21, 9, 3, 27, 18 (4) 27.2, 29.3, 29.1, 26.0,

More information

TFT LCD Monitor User Manual.book

TFT LCD Monitor User Manual.book VCCI 1 2002 7 Copyright IIYAMA CORPORATION 2002. All rights reserved. AQU5611D BK/AQU5611DT BK 920 TFT AQU5611D BK/AQU5611DT BK 920 TFT 1, 2, Workstation 3, LED 4, iiyama ATIFireGL ATI Technologies Inc.

More information

WT3000 プレシジョンパワーアナライザ ユーザーズマニュアル

WT3000 プレシジョンパワーアナライザ ユーザーズマニュアル WT3000 IM 760301-01 8th Edition: February 2014 (YMI) All Rights Reserved, Copyright 2004 Yokogawa Electric Corporation All Rights Reserved, Copyright 2013 Yokogawa Meters & Instruments Corporation YOKOGAWA

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

vol11_all

vol11_all 2014.8 Vol.12 30 40 50 30 40 50 20 60 20 60 Life Issue Cycle 30 Life Issue Cycle 40 50 20 70 60 Life Issue Cycle Vol.12 &NEXT 03( 6441)6451 http://seikatsusoken.jp/ 2014826 2014 Hakuhodo Institute of

More information

IP IP All contents are Copyright (c) All rights reserved. Important Notices and Privacy Statement. page 2 of 39

IP IP All contents are Copyright (c) All rights reserved. Important Notices and Privacy Statement. page 2 of 39 02 08 14 21 27 34 All contents are Copyright (c) 1992-2004 All rights reserved. Important Notices and Privacy Statement. page 1 of 39 IP IP All contents are Copyright (c) 1992-2004 All rights reserved.

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

User's Guide

User's Guide magicolor 2300 DL 1800687-014B magicolor 2300 DL Windows TCP/IP Web URL http://www.minolta-qms.co.jp/support/userreg/index.html QMS MINOLTA-QMS magicolor MINOLTA-QMS, Inc. Minolta Peerless Systems Corporation

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 POCO の 1 サイクルマイクロアーキテクチャ POCO は 作りながら学ぶコンピュータアーキテクチャ ( 倍風館 ) で使っている教育用の 16 ビット RISC である www.am.ics.keio.ac.jp/parthenon/pocobook/ も参照のこと POCO の構成 1 + + ext func[2:0] 2:0 THB ADD 00 01 10 comsel com S A

More information