Quartus II はじめてガイド - Device & Pin Options 設定方法

Size: px
Start display at page:

Download "Quartus II はじめてガイド - Device & Pin Options 設定方法"

Transcription

1 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン オプションの指定ができます ここで設定した内容は プロジェクト全体に対して有効です また コンフィギュレーション モードやコンフィギュレーション デバイスの選択もできます その他 同デバイス ファミリ内の同一ピン パッケージにおいて LE 数の小さいまたは大きいデバイスへの移行をしやすくするマイグレーション デバイス設定についても紹介しています 2. Device & Pin Options の起動 Assignments メニュー Device Device & Pin Options ボタンをクリックします または Tasks ウィンドウにおいて Assign Constraints ディレクトリを展開し Set Project and Compiler Settings をダブルクリックし Settings ダイアログ ボックスを起動します Category から Device を選択し Device & Pin Options ボタンをクリックしてください または Page 1 of 17 Altima Corporation

2 3. Device & Pin Options の設定 3-1. General タブ デバイス全般のオプションを設定できます チェック マークをつけることにより ON JTAG ユーザ コードの設定 各オプションの解説 Reset ボタンをクリックするとデフォルト設定に戻ります 各オプション (Options 内 ) の説明 Auto-restart configuration after error コンフィギュレーション中にデータ エラーが発生した場合 コンフィギュレーションが異常終了します このときに 自動的にコンフィギュレーション プロセスを再起動させるように FPGA デバイスに指示するオプションです (Passive Serial モードまたは Active Serial モード時のみ有効 ) Release clears before tri-state コンフィギュレーションが終了すると FPGA デバイスはイニシャライズ モード ( デバイスの初期化 ) になります コンフィギュレーション中は I/O ピンはトライステート状態となっており ユーザ モードに入る直前にトライステートを解除します I/O ピンのトライステート状態を解除する前に デバイス内のレジスタをクリアするオプションです I/O ピンの出力レベルは デバイス ファミリにより異なります Enable user-supplied start-up clock (CLKUSR) コンフィギュレーション終了後のデバイス初期化時に 外部クロックを用いて初期化を行うオプションです 外部クロックは CLKUSR ピンから入力します このオプションを OFF にしている場合 CLKUSR ピンはユーザ I/O として使用可能 Enable device-wide reset (DEV_CLRn) DEV_CLRn ピンを有効にするオプションです DEV_CLRn ピンに Low を入力するとデバイス内に構成された全てのレジスタがリセットされます このオプションを OFF にしている場合 DEV_CLRn ピンはユーザ I/O として使用可能 通常の論理回路で用いる非同期リセットとは異なり デバイス内の全レジスタをリセットするための専用ピンです Enable device-wide output enable (DEV_OE) DEV_OE ピンを有効にするオプションです DEV_OE ピンに Low を入力するとターゲット デバイスの全 I/O ピンが Hi-Z 状態になります このオプションを OFF にしている場合 DEV_OE ピンはユーザ I/O として使用可能 Enable INIT_DONE output INIT_DONE ピン ( オープンドレイン出力 ) を有効にするオプションです このピンを外部でプルアップすると コンフィギュレーション終了後ユーザ モードに入ったとき High をリリースします このオプションを OFF にしている場合 INIT_DONE ピンはユーザ I/O として使用可能 Enable OCT_DONE Enable OCT_DONE を有効にするオプションです INIT_DONE ピンは 初期化が終わり かつ OCT (On-Chip Termination) のキャリブレイションが完了した状態のときに High になるように動作致します ver 年 5 月 Page 2 of 17 Altima Corporation

3 3-2. Configuration タブ コンフィギュレーションに関するオプション設定を行います コンフィギュレーション モード およびコンフィギュレーション デバイスが選択できます ( デバイス ファミリにより 選択できないオプションがあります ) 各オプションの説明 Configuration scheme コンフィギュレーション方法を選択します 選択可能な方法はターゲット デバイスにより変わります (Active Serial Passive Serial など ) Configuration mode コンフィギュレーション データのアップロード方法を選択します (Standard Local Remote) Configuration device 使用するコンフィギュレーション デバイスを選択します Use configuration device にチェックを入れ プルダウン リストから使用するコンフィギュレーション デバイスを選択します コンパイル終了後 ここで設定したコンフィギュレーション デバイス用のプログラミング ファイル (.pof) が生成されます コンフィギュレーション デバイスに EPC デバイスを設定した場合 Configuration Device Options ボタンが有効になりますので クリックしてコンフィギュレーション デバイスのオプション設定を行います オプション内容については 次頁をご覧ください Configuration device I/O voltage コンフィギュレーション方式に応じ コンフィギュレーション用の I/O 電圧を指定します ( コンフィギュレーション デバイスの電圧に委ねられます ) Force VCCIO to be compatible with configuration I/O voltage FPGA デバイスのコンフィギュレーション ピンの電源 (VCCIO) を 上記オプション (Configuration device I/O voltage) で指定した電位で使用する場合は ON 通常のユーザ I/O の VCCIO に委ねる場合は OFF に設定します Generate compressed bitstreams コンフィギュレーション データを圧縮するオプションです 圧縮されたデータは コンフィギュレーション中に FPGA 内部で展開されます 圧縮したデータを FPGA へ転送しますので コンフィギュレーション時間を短縮することができます この機能は Arria シリーズ Stratix シリーズ (Stratix を除く ) Cyclone シリーズでサポートされています ver 年 5 月 Page 3 of 17 Altima Corporation

4 Configuration Device Options ダイアログ ボックスの説明 (Configuration Device Options ボタン ) JTAG ユーザ コードの設定 クロックの設定 Options タブ JTAG user code settings JTAG ユーザ コードの設定を行います Auto または任意の値 (32 ビット ) を設定します Disable ncs and OE pull-ups on configuration device ncs OE ピンの内部プルアップの設定を行います チェックを入れると内部プルアップが無効になります 補足 1 : 内部プルアップ 内部プルアップが有効な場合 基板上のコンフィギュレーション結線に外部プルアップは必要ありません 内部プルアップが無効な場合 基板上のコンフィギュレーション結線に外部プルアップが必要になります Compression mode (EPC4 EPC8 EPC16 でサポート ) コンフィギュレーション データを圧縮するオプションです 圧縮されたデータは コンフィギュレーション中にコンフィギュレーション デバイス内部で展開され FPGA へ転送されます このオプションを使用すると 必要なコンフィギュレーション デバイスの個数を削減することができます Clock settings コンフィギュレーション デバイスのクロック設定を行います Clock source Clock frequency Divide clock frequency by : クロックのリソースを選択します 内部 Internal または 外部 External : クロック周波数を選択します : クロックの分周比を選択します Files タブ プログラミング ファイルのフォーマットを選択します 生成したいファイル フォーマットにチェックを入れ ここで設定したファイルがコンパイル終了後に生成されます ver 年 5 月 Page 4 of 17 Altima Corporation

5 3-3. Programming Files タブ現在のプロジェクトにおけるプログラミング ファイルのフォーマットを指定できます アルテラのコンフィギュレーション デバイスをターゲットにしている場合には この設定は不要です ( その場合には Configuration タブ ( 前項 3-2.) で希望のコンフィギュレーション デバイスやその関連オプションを指定してください ) Programming File フォーマットの設定 Hex File の設定 開始アドレス アドレスのカウント : Up Down ver 年 5 月 Page 5 of 17 Altima Corporation

6 3-4. Unused Pins タブデバイス上のすべての未使用ユーザ I/O ピンを特定の目的に予約することができます ( デバイスの全体設定 ) Reserve all unused pins のプルダウン リストから設定したい属性を選択します 設定のポイントとして すべての未使用ユーザ I/O ピンに設定する項目のうち 一番多く設定する内容を Unused Pins タブで選択し それ以外の内容にしたい未使用ユーザ I/O ピンには Assignment Editor を使用して個別設定を行います 全体設定と個別設定では 個別設定がコンパイル時に優先されます 未使用ユーザ I/O ピンの個別設定の方法は FPGA/CPLD 関連アルティマ技術情報サイト EDISON にて公開中の資料 Quartus II はじめてガイド ピン アサインの方法 をご参照ください 未使用ユーザ I/O ピンの属性を選択 属性 As input tri-stated : ピンは入力ピンとして予約されます As input tri-stated with bus-hold circuitry : ピンはバス ホールド付の入力ピンとして予約されます As input tri-stated with weak pull-up register : ピンは内部ウィーク プルアップ付の入力ピンとして予約されます As output driving an unspecified signal : ピンは出力ピンとして予約され 未定義の値を出力します As output driving ground : ピンは出力ピンとして予約され GND (Low) を出力します 応用活用法として 未使用のユーザ I/O ピンを As output driving ground に設定し そのピンを基板上の GND に接続することで GND が強化され 基板のノイズ対策にご利用いただけます ver 年 5 月 Page 6 of 17 Altima Corporation

7 3-5. Dual-Purpose Pins タブデバイスのコンフィギュレーションが完了した後にコンフィギュレーション ピンをどのように使用するかを指定できます Name 欄から設定したいコンフィギュレーション ピンを選択し Value 欄をダブルクリックし プルダウン メニューから属性を選択します ユーザ I/O として使用したい場合は Use as regular I/O に設定してください ダブルクリックし ユーザ モード時のピン属性を選択 ver 年 5 月 Page 7 of 17 Altima Corporation

8 3-6. Voltage タブデバイス全体のユーザ I/O ピンの I/O 規格のデフォルト値を設定します 設定のポイントとして すべてのユーザ I/O ピンに設定する項目のうち 一番多く設定する内容を Voltage タブで設定し それ以外の I/O 規格にしたいユーザ I/O ピンには Pin Planner を使用して個別設定を行います 全体設定と個別設定では 個別設定がコンパイル時に優先されます 詳細は FPGA/CPLD 関連アルティマ技術情報サイト EDISON にて公開中の資料 Quartus II はじめてガイド ピン アサインの方法 をご参照ください I/O 規格の設定 ver 年 5 月 Page 8 of 17 Altima Corporation

9 3-7. Pin Placement タブ LVTTL/LVCMOS 入力ピンの電圧調節の設定や I/O ピンの電力制約値を設定できます このオプションを設定するとコンパイル実行時のピン アサインのルールが変更されます Allow voltage overdrive for LVTTL/LVCMOS input pins このオプションを有効にすると 3.3V LVTTL/LVCMOS の入力ピンを 3.3V よりも低い電圧値の I/O バンク ( つまり VCCIO が 1.8V や 1.5V など ) に配置することが可能になります Electromigration 電力制約について デフォルト値を適用するか ユーザが指定するかを選択できます Maximum consecutive outputs には 連続して配置可能な出力ピン 双方向ピン数の値を入力します Maximum current (ma) には Maximum consecutive outputs で設定したピン数の合計の最大電流値を設定します 電力制約値の設定 ver 年 5 月 Page 9 of 17 Altima Corporation

10 3-8. Error Detection CRC タブ Enable error detection CRC ユーザ モード中のエラー検知 (CRC) 回路の使用を有効にします このオプションを有効にすると CRC_ERROR ピン ( デバイスの型番によりピン番号が決まっています ) が有効になります CRC エラー オプションを使用していない場合 CRC_ERROR ピンはユーザ I/O として使用可能です CRC 機能の詳細は アルテラのホームページ ( より以下の資料をご参照ください AN 35 : Error Detection & Recovery Using CRC in Altera FPGA Devices Enable Open Drain on CRC Error pin CRC_ERROR ピンをオープンドレインにします この機能を有効にすると CRC_ERROR ピンをプルアップする必要があります Divide error check frequency by: CRC 回路の内部周波数を設定します CRC 回路の設定 ver 年 5 月 Page 10 of 17 Altima Corporation

11 3-9. Capacitive Loading タブ各 I/O 規格ごとに基板上のキャパシタンス値の情報を指定します Capacitive Loading で設定した内容により 正確な tco ( クロック to アウトプット ) タイミング モデルで検証が行えます 設定したい I/O 規格を Name 欄から選択し Capacitive Loading 欄をダブルクリック その後設定値を入力します ダブルクリック ver 年 5 月 Page 11 of 17 Altima Corporation

12 3-10. Board Trace Model タブ各 I/O 規格ごとの Board Trace Model の設定を行います このオプションは Stratix シリーズ (Stratix Stratix GX Stratix II Stratix II GX を除く ) Arria II GX Cyclone シリーズ (Cyclone Cyclone II を除く ) でサポートされています このオプションを設定すると TimeQuest でのタイミング解析時に デバイス外部の接続状況を考慮した I/O タイミングを確認することができます Device & Pin Options で設定をするためには あらかじめ以下の設定が必要です 事前設定 1) TimeQuest の設定 Assignments メニュー Timing Analysis Settings を選択します Timing analysis processing にて Use TimeQuest Timing Analyzer during compilation を選択します TimeQuest の設定 2) Advanced I/O Timing の設定 TimeQuest Timing Analyzer を選択し Enable Advanced I/O Timing にチェックを入れます (Stratix IV Stratix III Cyclone IV Cyclone III の場合には デフォルトで ON になっています ) Advanced I/O Timing の設定 ver 年 5 月 Page 12 of 17 Altima Corporation

13 オプション設定方法 I/O Standard から I/O 規格を選択します Name 欄から設定項目 (Pull-Up Termination Capacitance など ) を選択し Value 欄をダブルクリックして設定値を入力します 設定項目の選択 ダブルクリックで値を入力 ver 年 5 月 Page 13 of 17 Altima Corporation

14 3-11. I/O Timing タブアルテラ デバイスから接続先デバイスの遠近を設定します このオプションは Arria II GX Cyclone IV Cyclone III Stratix III Stratix IV でサポートされています このオプションを設定することにより TimeQuest でより詳細なタイミング制約 解析を行うことができます ver 年 5 月 Page 14 of 17 Altima Corporation

15 4. Migration compatibility の設定 アルテラのデバイスは バーティカル マイグレーションをサポートしています バーティカル マイグレーションとは 同一ファミリ内の同一のパッケージならば 専用ピン コンフィギュレーション ピン および電源ピンの基板上のレイアウトを変更することなく 異なるデバイス間でマイグレーション ( 移動 ) できることを言います 例えば 集積度の高いデバイスへマイグレーションをする場合 そのデバイスは追加ロジックをサポートするためにより多くの VCC と GND が必要となります そのことにより ユーザ I/O ピン数が少なくなる可能性があります 共通に使用できるユーザ I/O ピンはどれか また電源周りで増えるまたは減るピンはどれかなど マイグレーションを検討するために使用中のデバイスとピン互換なデバイスをあらかじめ Migration compatibility に設定しておくと 設定したデバイス間で 共通なピンにのみピン アサインが可能になり LE 数の小さいまたは大きいデバイスへデザインの移行がしやすくなります この設定をサポートするデバイスは Stratix シリーズ Arria シリーズ Cyclone シリーズ MAX II シリーズ MAX 3000 MAX 7000AE MAX 7000B です (MAX 7000S はサポートされておりません ) 1 Assignments メニュー Device Migration Devices ボタンをクリックします このとき あらかじめ Device ダイアログ ボックスにて ターゲット デバイスを選択しておいてください ver 年 5 月 Page 15 of 17 Altima Corporation

16 2 Migration Devices ダイアログ ボックスの Compatible migration devices ( 左枠 ) から設定したいデバイスを選択し ダブルクリックします Selected migration devices ( 右枠 ) に登録されたことを確認後 OK ボタンをクリックします ( 次頁の画面参照 ) ダブルクリック チェックを入れるとすべてのスピード グレードについて表示されます 補足 2 : Migration Devices の設定を反映した Pin Planner Migration Devices を設定しておくと 登録されたデバイスのピン情報が反映された状態で Pin Planner が使用できます 以下のいずれかの方法で Pin Planner を起動します Tasks ウィンドウ Assign Constraints ディレクトリ Edit Pin Assignments をダブルクリックする Assignments メニュー Pin Planner を選択する Pin Planner の View メニュー Pin Migration View において マイグレーション デバイスに登録した各デバイスのピン情報一覧と それらの情報を考慮したすべてのピンのマイグレーション結果が確認できます また Package View は その結果を反映した表示になります ver 年 5 月 Page 16 of 17 Altima Corporation

17 弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 横浜市港区新横浜 マクニカ第二ビル TEL FAX 大阪営業所 大阪市淀川区宮原 ニッセイ新大阪ビル 17F TEL FAX 名古屋営業所 名古屋市西区名駅二丁目 27-8 名古屋プライムセントラルタワー 20F TEL FAX 宇都宮営業所 栃木県宇都宮市駅前通り フミックス STM ビル 10F TEL FAX ver 年 5 月 Page 17 of 17 Altima Corporation

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS アルテラ USB-Blaster ドライバのインストール方法 for Windows OS ver. 3.1 2009 年 6 月 1. はじめに この資料は アルテラ専用のダウンロードケーブル USB-Blaster をご利用いただく際に必要な ドライバのインストール方法をご案内しています ご利用になる Windows OS に応じ ご案内の手順に従ってドライバをインストールしてください なお USB-Blaster

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - ピン・アサインの方法 ALTIMA Corp. Quartus II はじめてガイドピン アサインの方法 rev.1 ver.10 2011 年 3 月 ELSENA,Inc. Quartus II はじめてガイド ピン アサインの方法 rev.1 目次 1. はじめに... 3 2. 事前作業... 3 2-1. デバイスの選択... 3 2-2. データベースの構築... 4 3. ユーザ I/O ピンのアサイン方法...

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 ALTIMA Corp. ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 2012 年 3 月 Rev. 1 ELSENA,Inc. ModelSim-Altera Edition インストール & ライセンスセットアップ 目次 1. はじめに... 3 2. ModelSim-Altera について... 3 2-1. ModelSim-Altera

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

Quartus II - TimeQuest クイック・ガイド

Quartus II - TimeQuest クイック・ガイド Quartus II TimeQuest クイック ガイド ver. 9.1 2010 年 6 月 1. はじめに この資料は Quartus II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています TimeQuest は 独立したツールとして高性能なタイミング解析を行えるだけでなく Quartus II に対して TimeQuest の解析結果に基づいた配置配線を実行させることもできます

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

Nios II - Vectored Interrupt Controller の実装

Nios II - Vectored Interrupt Controller の実装 ALTIMA Corp. Nios II Vectored Interrupt Controller の実装 ver.1.0 2010 年 7 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 4 3-1. SOPC Builder の設定... 4 3-2. ペリフェラルの設定... 4 3-2-1. VIC の設定... 4 3-2-2.

More information

Microsoft Word - SSI_Smart-Trading_QA_ja_ doc

Microsoft Word - SSI_Smart-Trading_QA_ja_ doc サイゴン証券会社 (SSI) SSI Smarttrading の設定に関する Q&A 06-2009 Q&A リスト 1. Q1 http://smarttrading.ssi.com.vn へアクセスしましたが 黒い画面になり X のマークが左上に出ている A1 原因はまだ設定していない アドミニストレータで設定しない あるいは自動設定プログラムがお客様の PC に適合しないと考えられます 解決方法アドミニストレータの権限のユーザーでログインし

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法 ALTIMA Corp. Quartus II はじめてガイド TimeQuest によるタイミング制約の方法 ver.15 2015 年 9 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド TimeQuest によるタイミング制約の方法 目次 1. 2. はじめに...3 SDC ファイルの作成方法...5 2-1. 2-2. Analysis & Synthesis(

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16 ALTIMA Corp. Quartus Prime ガイド Design Space Explorer II の使い方 Ver.16 2017 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime ガイド Design Space Explorer II の使い方 目次 1. 2. はじめに...3 DSE II 概要...4 2-1. 2-2. 2-3. DSE II の推奨使用方法...

More information

インターネット お客様環境 回線終端装置 () 61.xxx.yyy.9 (PPPoE) 61.xxx.yyy.10 (Ethernet) 61.xxx.yyy.11 Master 61.xxx.yyy.12 Backup

インターネット お客様環境 回線終端装置 () 61.xxx.yyy.9 (PPPoE) 61.xxx.yyy.10 (Ethernet) 61.xxx.yyy.11 Master 61.xxx.yyy.12 Backup ZyWALL USG シリーズ設定例 株式会社スタッフ アンド ブレーン Rev 1.0 2 台構成による冗長化 について 1. はじめに本設定例では ZyWALL USG シリーズを2 台使用した冗長構成 (Device HA) の設定方法を説明します 同一の設定を行った同一機種 同一ファームウェアの2 台の ZyWALL USG で1つの仮想ルータを構成し 1 台の ZyWALL USG がダウンした場合でも通信を継続することができます

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

HP USB Port Managerご紹介資料 -シンクライアント

HP USB Port Managerご紹介資料 -シンクライアント HP USB Port Manager ご紹介資料 株式会社日本 HP パーソナルシステムズ事業本部クライアントソリューション本部 2015 年 11 月 ソリューションビジネス部 HP USB Port Manager とは これまで HP シンクライアント用に提供していたツールでは 書き込み 読み込み 無効化の設定はすべての USB ストレージデバイスが対象でした 新しくリリースした HP USB

More information

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II はじめてガイド - 回路図エディタの使い方 Quartus II はじめてガイド 回路図エディタの使い方 ver.9.0 2009 年 5 月 1. はじめに この資料は Quartus II 回路図エディタの操作方法をご紹介しています Quartus II はデザイン エントリの手法として 回路図 AHDL VHDL Verilog HDL EDIF VQM に対応しています 階層設計をしたときに 最終的に最上位階層のデザイン ファイルが

More information

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h]) TINA 操作チュートリアル プリント配線基板の (PCB) 作成 ilink アイリンク合同会社 231-0023 横浜市中区山下町 256 ヴィルヌーブ横浜関内 1F111 TEL:045-663-5940 FAX:045-663-5945 ilink_sales@ilink.co.jp http://www.ilink.co.jp 1 プリント配線基板の (PCB) 作成 フットプリントの確認と変更

More information

Cyclone II Device Handbook

Cyclone II Device Handbook VI. Cyclone II Cyclone II JTAG 13 Cyclone II 14 Cyclone II IEEE 1149.1 (JTAG) Altera Corporation VI 1 Preliminary Cyclone II, Volume 1 13 14 / 13 2004 11 v1.1 2004 6 v1.0 14 2004 6 v1.0 AS AS 13-8 MAX

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

:30 18:00 9:30 12:00 13:00 17:00

:30 18:00 9:30 12:00 13:00 17:00 http://pioneer.jp/support/ 0120-944-222 044-572-8102 9:30 18:00 9:30 12:00 13:00 17:00 この取扱説明書について 製品本体の USB DAC 端子に USB ケーブルでパソコンを接続すると パソコンからの音声信号を再生できます この機能を使用するためには 専用のドライバーソフトウェアをパソコンにインストールする必要があります

More information

AN1609 GNUコンパイラ導入ガイド

AN1609 GNUコンパイラ導入ガイド GNU コンパイラ導入ガイド 2 版 2017 年 04 月 20 日 1. GNU コンパイラの導入... 2 1.1 はじめに... 2 1.2 必要なプログラムとダウンロード... 3 1.2.1 GNU ツールチェインのダウンロード... 3 1.2.2 e 2 studio のダウンロード... 5 1.3 GNU ツールチェインのインストール... 7 1.4 e 2 studio のインストール...

More information

はじめにお読みくださいfor HP Smart Zero Client v5.0

はじめにお読みくださいfor HP Smart Zero Client v5.0 はじめにお読みください hp Smart Client シンクライアント用クイックマニュアル Ver.5.0 日本ヒューレット パッカード株式会社クライアント技術部 目次 1. Smart Client とは 2. 工場出荷時の状態について ( デモモード ) 3. Smart Client Service の利用方法 4. Profile Editor の使い方 5. Smart Client Service

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

はじめに このマニュアルは BACREX-R を実際に使用する前に知っておいて頂きたい内容として 使用する前の設定や 動作に関する注意事項を記述したものです 最初に必ずお読み頂き 各設定を行ってください 実際に表示される画面と マニュアルの画面とが異なる場合があります BACREX-R は お客様の

はじめに このマニュアルは BACREX-R を実際に使用する前に知っておいて頂きたい内容として 使用する前の設定や 動作に関する注意事項を記述したものです 最初に必ずお読み頂き 各設定を行ってください 実際に表示される画面と マニュアルの画面とが異なる場合があります BACREX-R は お客様の Ver4 IE9.0 設定の注意事項 第 1 版 はじめに このマニュアルは BACREX-R を実際に使用する前に知っておいて頂きたい内容として 使用する前の設定や 動作に関する注意事項を記述したものです 最初に必ずお読み頂き 各設定を行ってください 実際に表示される画面と マニュアルの画面とが異なる場合があります BACREX-R は お客様の使用環境に合わせてカスタマイズのできるシステムです

More information

Flash Loader

Flash Loader J MA1309-A プロジェクターファームウェア更新ガイド 本書はお読みになった後も大切に保管してください 本書の最新版は下記ウェブサイトに公開されております http://world.casio.com/manual/projector/ Microsoft Windows Windows Vistaは米国 Microsoft Corporationの米国およびその他の国における登録商標または商標です

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からログインする 工場出荷時は装置の IP アドレスが設定されていないので Telnet, SSH ではログインできません この資料では シリアルポートを使用する場合の方法を説明します

More information

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc TRAKTOR PRO 2 セッティングファイルインポートガイド はじめに ( 重要 ) 既存のセッティングファイルをバックアップする 弊社では Native Instruments 社製 DJソフトウエア TRAKTOR PRO 2 専用のセッティングファイルを提供しております このファイルをインポートすることにより TRAKTOR PRO 2 を本機でコントロールできます TRAKTOR PRO

More information

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書 Technical white paper Windows Embedded Standard シンクライアント VMware Horizon Client アップデート手順 目次 はじめに 2 対応する機種と OS イメージ 2 VMware Horizon Client アドオンのダウンロードと展開 3 VMware Horizon Client アドオンのインストール ( 手動インストール )

More information

Notes and Points for ADuCM320 Internal Flash memory

Notes and Points for ADuCM320 Internal Flash memory 表紙 ANALOG DEVICES 社製 ADuCM320 内蔵 Flash メモリ対応手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については

More information

Maser - User Operation Manual

Maser - User Operation Manual Maser 3 Cell Innovation User Operation Manual 2013.4.1 1 目次 1. はじめに... 3 1.1. 推奨動作環境... 3 2. データの登録... 4 2.1. プロジェクトの作成... 4 2.2. Projectへのデータのアップロード... 8 2.2.1. HTTPSでのアップロード... 8 2.2.2. SFTPでのアップロード...

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

ZVH_VIEWER

ZVH_VIEWER R&S FSH4View 操作手順書 Rev 1 ローデ シュワルツ ジャパン株式会社 1 ローデ シュワルツ ジャパン FSH4View 操作手順書 1 FSH4View 操作手順 1.FSH4Viewの起動 2.FSHとPCの接続 3.FSHメモリ内データの転送 4. 測定画像の操作 5. 測定データを数値データへ変換 6. クイック ネーミング機能の設定 2 ローデ シュワルツ ジャパン FSH4View

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

Microsoft Word - XOOPS インストールマニュアルv12.doc

Microsoft Word - XOOPS インストールマニュアルv12.doc XOOPS インストールマニュアル ( 第 1 版 ) 目次 1 はじめに 1 2 XOOPS のダウンロード 2 3 パッケージの解凍 4 4 FFFTP によるファイルアップロード手順 5 5 ファイルアップロード後の作業 11 6 XOOPS のインストール 15 7 インストール後の作業 22 8 XOOPS ログイン後の作業 24 愛媛県総合教育センター情報教育研究室 Ver.1.0.2

More information

WindowsシンクライアントでPCと同じ組み合わせキーを利用する方法

WindowsシンクライアントでPCと同じ組み合わせキーを利用する方法 使える シンクライアント の選び方 (6) Ver.1.0 Copyright 2015 Hewlett-Packard Development Company, L.P. Page 0 目次 本書の取り扱いについて... 2 0. ユースケースとベネフィット... 3 1. はじめに... 4 2. システム要件... 5 3. HP Hotkey Filter の入手方法とインストーラの展開...

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

A 既製のプロジェクトがある場合

A 既製のプロジェクトがある場合 2008 年 7 月 15 日 ワゴジャパン株式会社 1 使用機器 -Siemens S7-300:CPU315F-2 PN/DP プロセッサ /PROFINET スキャナ -Siemens SIMATIC Manager STEP 7 ソフトウェア バージョン V5.4-750-333 GSD ファイル :B754_V30.GSD(FW Ver.7 以降 ) -WAGO I/O ノード構成ノード

More information

DragonDisk

DragonDisk オブジェクトストレージサービス S3 Browser ご利用ガイド サービスマニュアル Ver.1.10 2017 年 8 月 21 日 株式会社 IDC フロンティア S3 Browser の利用方法 S3 Browser は Windows で動作するエクスプローラ形式のストレージ操作 GUI です S3 Browser(http://s3browser.com) S3 Browser は有償のソフトウェアです

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Silicon Labs 社 CP210x クイックスタートガイド 2015 年 6 月

Silicon Labs 社 CP210x クイックスタートガイド 2015 年 6 月 Silicon Labs 社 CP210x クイックスタートガイド 2015 年 6 月 Silicon Labs 社 CP210x クイックスタートガイド 目次 1 はじめに... 3 2 評価環境のご紹介... 4 2-1 ハードウェア... 4 2-1-1 Evaluation Kit... 4 2-1-2 Mini Evaluation Kit... 5 2-2 ドライバ... 6 2-2-1

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.4. 実習 FPGA への実装 2013 年 5 月 10 日修正 まずは動かしてみましょう!! 詳細内容は明日説明します Open-It FPGA トレーニングコース ( 初級 ) 2 FPGA への実装方法 HDL コード Synthesize 論理合成 4 つの要素へ変換 最適化 ISE Implementation

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BrightSignNetwork クイックスタートガイド 1 この度は BrightSignNetwork サブスクリプションパックをお買い上げいただき 誠にありがとうございます このクイックスタートガイドは BrightSignNetwork を使って 遠隔地に設置した BrightSign プレイヤーのプレゼンテーションを管理するための手順をご説明します ジャパンマテリアル株式会社 Rev.

More information

WES7シンクライアントIE11アップデート手順書

WES7シンクライアントIE11アップデート手順書 Technical white paper Windows Embedded Standard 7 シンクライアント IE11 アップデート手順書 Contents はじめに 2 対応する機種と OS イメージ 2 IE11 アドオンのダウンロードと展開 2 IE11 アドオンのインストール ( 手動インストール ) 5 HP Device Manager を使用した IE11 アドオンのインストール

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

Microsoft Word - CBSNet-It連携ガイドver8.2.doc

Microsoft Word - CBSNet-It連携ガイドver8.2.doc (Net-It Central 8.2) 本ガイドでは ConceptBase Search Lite.1.1 と Net-It Central 8.2 の連携手順について説明します 目次 1 はじめに...2 1.1 本書について...2 1.2 前提条件...2 1.3 システム構成...2 2 ConceptBase のインストール...3 2.1 インストールと初期設定...3 2.2 動作確認...3

More information

Merging と AES67 ディバイスの設定

Merging と AES67 ディバイスの設定 Merging と AES67 ディバイスの設定 Configure Merging and AES67 devices AES67 interoperability with Non-Merging interface AES が X-192 グループの調査結果を批准した結果 ネットワーク上でリアルタイムオーディオストリームを送信するデバイスの相互運用性に関する AES67 の提案を発表しました

More information

利用ガイド

利用ガイド Linux/Dos 版起動 CD の使用方法について この資料では LB コピーワークスの Linux/Dos 版起動 CD の使用方法についてご紹介します 1-1 起動 CD からの起動方法起動 CD をドライブにセットして PC を再起動 ( 起動 ) します CD からブートされ LB コピーワークス 10 のメインメニューが表示されます この画面が表示されずに OS が起動してしまう場合には

More information