I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

Size: px
Start display at page:

Download "I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator"

Transcription

1 - - 18

2 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

3 - - 20

4 N P P

5 - - 22

6 DRAM

7 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v µ

8 a b

9 BSG a b Vcc=1.7VVsi=0.2VVbb=-0.8VVpp=3.0V) BSG

10 Word Line Line BSG Conv. BL Pair Sense GND GND

11 µ µ

12 I-leak avcc b

13 MC-Tr

14 a b

15 a b

16 - - 33

17 BSG a bdms

18 avcc b c

19 - - 36

20 - - 37

21 CDS a b

22 A 1/2-VCC DRAM 1/2-VCC, MOS 1/2-VCC / DRAM / 1.0V a b

23 - - 40

24 - - 41

25 µ

26 - - 43

27

28 - - 45

29 C

30 - - 47

31 D

32 agnd bvbb c

33 - - 50

34 - - 51

35 a bgnd-well c

36 - - 53

37 A StretchableMemoryMatrix DRAM 16M STDRAM

38 DRAM DRAM 16M X Y X/11Y X/10Y /4 15X/9Y 512 1/8 X STretchableMemory Matrix) DRAM STDRAM, B16M-STDRAM IO 16Mb-STDRAM 32k

39 15X/9Y 4 128k 1/128 / X Y I/O I/O N MOS I/O I/O I/O X /Y =15/9 16us/ 512ms IO

40 C 16M DRAM 16M STDRAM X /Y X X /Y 15/9 X /Y 12/12 1/2 1/ M DRAM 1/2 16M STDRAM 512 1/

41 V pp A V pp OUT V dd IN µ

42 V pp IN L P2 A H P1 V A pp OUT B L V dd IN C H OUT H a b a b

43 V pp V pp OUT V dd IN

44 VDC a

45 VDC a b

46 VDC VDC

47 VDC

48 VDC

49 - - 66

50 - - 67

51 VDC a b

52 VDC a VDC bmm-vdc cmm-vdc

53 a b

54 VCI

55 Ext. V dd Ext. V dd P1 /BE V ref Int. V dd Act Decouple C ACT SE BE Ext.Vdd Int.Vdd GND

56 - - 73

57 - - 74

58 1) K. Itoh et al., An experimental 1Mb DRAM with on-chip voltage limiter, in ISSCC Dig. Tech. Papers, Feb. 1984, pp ) T. Furuyama et al., A new on-chip voltage converter for submicrometer high-density DRAM s, IEEE J. Solid-State Circuits, vol. 24, June 1986, pp ) H. Hidaka et al., A 34ns 16Mb DRAM with controllable voltage down-converter, IEEE J. Solid-State Circuits, vol. 27, No. 7, July 1992, pp ) M. Horiguchi, et al., Switched-Source-Impedance CMOS Circuit for Low Standby Subthreshold Current Giga-scale LSI s, Symp. VLSI Circuits Digest of Tech. Papers, pp , June ) T. Yamagata et al., Circuit design techniques for low voltage operating and/or giga-scale DRAMs, in ISSCC Dig. Tech. Papers, Feb. 1995, pp ) T. Yamagata et al., Low voltage circuit design techniques for battery-operated and/or giga-scale DRAM s, IEEE J. Solid-State Circuits, vol. 30, Nov. 1995, pp ) M. Asakura et al., A 34ns 256Mb DRAM with boosted sense-ground scheme, in ISSCC Dig. Tech. Papers, Feb. 1994, pp ) M. Asakura et al., An experimental 256-Mb DRAM with boosted sense-ground scheme, IEEE J. Solid-State Circuits, vol. 29, Nov. 1994, pp ) S. M. Sze, Physics of Semiconductor Devices, 2nd ed. New York: Wiley, ) Y. Tsukikawa et al., An efficient back-bias generator with hybrid pumping circuit for 1.5 V DRAM s, Symp. On VLSI circuit Dig. Tech. Papers, pp , June ) T. Sakurai et al. Hot-carrier generation in submicrometer VLSI environment, IEEE J. Solid-State Circuits, vol. SC-21, Feb ) T. Tsuruda et al., High-speed/high-bandwidth design methodologies for on-chip DRAM core multimedia system LSI, in IEEE CICC Dig. Tech. Papers, pp , May ) T. Tsuruda et al., High-speed/high-bandwidth design methodologies for on-chip DRAM core multimedia system LSI s, IEEE J. Solid-State Circuits, vol. 32, March 1997, pp ) S. Miyano et al., Embedded DRAM SOCs and Its Application for MPEG4 Codec LSIs, in Proc. of VLSI Circuits Short Course, June 2001, pp ) C-L. Hwang, et al., A 2.9ns Random Access Cycle Embedded DRAM with a Destructive Read Architecture, Symp. On VLSI circuit Dig. Tech. Papers, June 2002, pp ) S.Tomishima, et al., A 1.0V 230MHz column access embedded DRAM for portable MPEG

59 applications, IEEE Journal of Solid-State Circuits, Vol.36, NO.11, Nov. 2001, pp ) S.Tomishima, et al., A 1.0V 230MHz column access embedded DRAM for portable MPEG applications, ISSCC Dig. Tech. Papers, Feb. 2001, pp ) S. Mutoh, et al., 1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage CMOS, Proc. IEEE ASIC Conf. pp , Sept., ) S. Shigematsu, et al., A 1-V high-speed MTCMOS circuit scheme for power-down applications, Symp. VLSI Circuits Digest of Tech. Papers, pp , June, ) S. Mutoh, et al., 1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage CMOS, IEEE J. Solid-State Circuits, Vol. pp , Aug., ) S. Mutoh, et al., A 1V Multi-Threshold Voltage CMOS DSP with an Efficient Power Management Technique for Mobile Phone Application, ISSCC Digest Technical Papers, pp , Feb., ) T. Kobayashi, et al., Self-Adjusting Threshold-Voltage Scheme (SATS) for Low-Voltage High Speed Operation, Proc. CICC. pp , May., ) K. Seta, et al., 50% Active-Power Saving without Speed Degradation using Standby Power Reduction (SPR) Circuit, ISSCC Digest Technical Papers, pp , Feb., ) T. Kuroda, et al., A 0.9V 150MHz 10mW 4 mm 2 2-D Discrete Cosine Transform Core Processor with Variable Threshold-Voltage Scheme, ISSCC Digest Technical Papers, pp , Feb., ) T. Kuroda, et al., A 0.9-V, 150-MHz, 10-mW, 4 mm 2, 2-D Discrete Cosine Transform Core Processor with Variable Threshold-Voltage (VT) Scheme, IEEE J. Solid-State Circuits, Vol. pp , Nov., ) T. Kuroda, et al., A High-Speed Low-Power 0.3µm CMOS Gate Array with Variable Threshold Voltage (VT) Scheme, Proc. CICC. pp , May., ) M. Mizuno, et al., Elastic-Vt CMOS Circuits for Multiple On-Chip Power Control, ISSCC Digest Technical Papers, pp , Feb., ) W. C. Ward, Volume production of unique plastic surface-mount modules for the IBM 80-ns 1-Mbit DRAM chip by area wire bond technique, ECC. Papers, 1988, pp ) H. Yamauchi, et al., A 20ns battery-operated 16 Mb CMOS DRAM, in ISSCC Dig. Tech. Papers, Feb. 1993, pp ) K. Noda, et al., A Boosted Dual Word-line Decoding Scheme for 256Mb DRAMs, Symp. On VLSI circuit Dig. Tech. Papers, pp , June ) T. Ooishi, et al., A Mixed-Mode Voltage Down Converter with Impedance Adjustment Circuitry for Low-Voltage High-Frequency Memories, IEEE J. Solid-State Circuits, vol. 31, No. 4, April 1996, pp

60 34) T. Ooishi, et al., A Mixed-Mode Voltage Down Converter with Impedance Adjustment Circuitry for Low-Voltage High-Frequency DRAMs, Symp. On VLSI circuit Dig. Tech. Papers, pp , June ) T. Kono, et al., A Precharged-Capacitor-Assisted Sensing (PCAS) Scheme with Novel Level Controller for Low-Power DRAM s, IEEE J. Solid-State Circuits, vol. 35, No. 8, Aug. 2000, pp ) T. Kono, et al., A Precharged-Capacitor-Assisted Sensing (PCAS) Scheme with Novel Level Controller for Low-Power DRAM s, Symp. On VLSI circuit Dig. Tech. Papers, pp , June ) S. Tomishima, et al., A blanket source line architecture with triple metal for giga scale memory LSIs, IEICE Trans. Electron., Vol. E79-C, No.7, July 1996, pp

µ

µ MPEG Applications 10000 HDTV HDTV: High Definition TV 1000 SDTV: SDTV Standard Definition TV 100 DVD DVD: Digital Video Decoder VP VP: 10 Video Phone 0 16 32 64 128 256 Memory Capacity (Mbit) Data Rate

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [ RI-002 Encoding-oriented video generation algorithm based on control with high temporal resolution Yukihiro BANDOH, Seishi TAKAMURA, Atsushi SHIMIZU 1 1T / CMOS [1] 4K (4096 2160 /) 900 Hz 50Hz,60Hz 240Hz

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

untitled

untitled NJU7704/05 C-MOS ( ) ±1.00.9µA DSP SOT-23-5 SC88A 2 DSP NJU7704/05F NJU7704/05F3 ±1.0 0.9µA typ ( ) 1.5 6.0(0.1 step) ( C ) ( ) Active "L" : NJU770****A Active "H" : NJU770****B Nch : NJU7704 C-MOS : C-MOS

More information

EH意見交換会_ishihara.pptx

EH意見交換会_ishihara.pptx 2 2-9D @23 @@CCC:9 44 9D @232 2! " "! " "! 1 2! " "! " "! 3!? " MPEG4 " 15% Hojun Shim, Youngjin Cho and Naehyuck Chang, "Power Saving in Hand-held Multimedia Systems Using MPEG-21 Digital Item Adaptation,"

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

1.indd

1.indd 14 15 6 april 6 16 17 18 april 18 april 18 19 28 april 20 21 28 april 22 23 21 may 24 25 10 june 21 may 26 27 10 june 28 29 12 june 30 31 12 25 june 32 33 25 34 35 4 july 37 36 38 39 25 july 25 july 40

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

main.dvi

main.dvi FDTD S A Study on FDTD Analysis based on S-Parameter 18 2 7 04GD168 FDTD FDTD S S FDTD S S S S FDTD FDTD i 1 1 1.1 FDTD.................................... 1 1.2 FDTD..................... 3 2 S 5 2.1 FDTD

More information

LM150/LM350A/LM350 3A 可変型レギュレータ

LM150/LM350A/LM350 3A 可変型レギュレータ LM150,LM350,LM350A LM150/LM350A/LM350 3-Amp Adjustable Regulators Literature Number: JAJSBC0 LM350A/LM350 3A LM350 1.2V 33V 3A 3 IC 2 & IC ADJ 6 ADJ LM350 100V ADJ LM350 ADJ 1.2V 3A LM350A 3A LM350 3A

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB (DLL) UWB DLL 1. UWB FCC (Federal Communications

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

FIT2013( 第 12 回情報科学技術フォーラム ) C-017 SIMD Implementation and evaluation of a morphological pattern spectrum using an highly-parallel SIMD matrix process

FIT2013( 第 12 回情報科学技術フォーラム ) C-017 SIMD Implementation and evaluation of a morphological pattern spectrum using an highly-parallel SIMD matrix process C-017 SIMD Implementation an evaluation of a morphological pattern pectrum uing an highly-parallel SIMD matrix proceor Yauhi Tukaa Tomohiro Takea Tohiya Hona Takehi Kumaki Takehi Ogura Takehi Fujino 1.

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

untitled

untitled Power Wall HPL1 10 B/F EXTREMETECH Supercomputing director bets $2,000 that we won t have exascale computing by 2020 One of the biggest problems standing in our way is power. [] http://www.extremetech.com/computing/155941

More information

デジタルICの電源ノイズ対策・デカップリング

デジタルICの電源ノイズ対策・デカップリング RoHS RoHS 2011/65/EU RoHS Web RoHS http://www.murata.co.jp/info/rohs.html IC 1-1 IC Power Distribution Network PDN 1-2 Power Integrity PI 1) 2) 1-3 3) 4) 5) 1-4 1 2 IC IC IC 1-3 1-3 (1) (2) (3) 3 IC

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

LM317A

LM317A 3 3 LM317A 3 LM317 1.2 37V 1.5A 3 IC 2 / IC AC IC 6 3 LM317 3-Terminal Adjustable Regulator LM117 19860710 33200 24060 11800 ds009063 Increase the print percent on all Typical Curves modified formatting

More information

12 DCT A Data-Driven Implementation of Shape Adaptive DCT

12 DCT A Data-Driven Implementation of Shape Adaptive DCT 12 DCT A Data-Driven Implementation of Shape Adaptive DCT 1010431 2001 2 5 DCT MPEG H261,H263 LSI DDMP [1]DDMP MPEG4 DDMP MPEG4 SA-DCT SA-DCT DCT SA-DCT DDMP SA-DCT MPEG4, DDMP,, SA-DCT,, ο i Abstract

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

3 16 2 27 4497 LAN(Local Area Network) OFDM(Orthogonal Frequency Division Multiplexing) 12 3 3 12 3 12 33. F/B 22.7dB 3 F/B i 1 1 2 3 8 2.1................................. 8 2.2.............................

More information

LM117/LM317A/LM317 可変型3 端子レギュレータ

LM117/LM317A/LM317 可変型3 端子レギュレータ LM117,LM317 LM117/LM317A/LM317 3-Terminal Adjustable Regulator Literature Number: JAJSBC1 LM317A/LM317 3 3 LM317A 3 LM317 1.2 37V 1.5A 3 IC 2 / IC AC IC 6 3 LM317 3-Terminal Adjustable Regulator LM117

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

No Slide Title

No Slide Title Mentor Graphics High Speed Board 2002 January 2002 Electronic Design and Solution Fair Agenda AutoActive / DRC Interconnectix / IBIS SPICE AutoActive + Interconnectix + EMI Sigrity SPEED2000 ( 2 AutoActive

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Hans J. MATTAUSCH, and Tetsushi KOIDE 1 1 2 0.5 µm CMOS

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

TO-92 Plastic Package (Z) TO-252 (D-Pak) Bottom View Dual-In-Line Packages (N) Surface-Mount Package (M, MM) Front View 8-Lead LLP Top View 4 DAP Top

TO-92 Plastic Package (Z) TO-252 (D-Pak) Bottom View Dual-In-Line Packages (N) Surface-Mount Package (M, MM) Front View 8-Lead LLP Top View 4 DAP Top 2951 LP 2950 LP 19850603 33200 24060 LP2950 LP2951 ( 75 A) ( 40mV 100mA 380mV) LP2950-5.0 D-Pak 3 TO-92 5V LP2951 8 (DIP) LLP 8 1 1 / 3V 3.3V 5V ( ) 1.24V 29V ( 0.5%) ( 0.05%) 11800 DS008546 fixed the

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Low Power SoC Technology Development at STARC 2 Koichiro Ishibashi 3 4 Semiconductor» 5 Technology Academic Research Center (STARC) Yokohama, Japan Th

Low Power SoC Technology Development at STARC 2 Koichiro Ishibashi 3 4 Semiconductor» 5 Technology Academic Research Center (STARC) Yokohama, Japan Th Low Power SoC Technology Development at STARC Koichiro Ishibashi Semiconductor Technology Academic Research Center (STARC) Yokohama, Japan The 2nd Hiroshima International Workshop on Nanoelectronics for

More information

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S Overture 68W ( ) 0.1 (THD N) 20Hz 20kHz 4 68W 8 38W SPiKe (Self Peak Instantaneous Temperature ( Ke)) SOA (Safe Operating Area) SPiKe 2.0 V ( ) 92dB (min) SN 0.03 THD N IMD (SMTPE) 0.004 V CC 28V 4 68W

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

01 23A1-W-0012.indd

01 23A1-W-0012.indd Electrical Equipment for High Speed Rolling Stock 23A1-W-0012 1 Fuji Electric produces electrical equipment for Shinkansen (bullet) trains, contributing to the famously safe, high-speed operation of Shinkansen

More information

HN58X2402SFPIAG/HN58X2404SFPIAG

HN58X2402SFPIAG/HN58X2404SFPIAG お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

36 581/2 2012

36 581/2 2012 4 Development of Optical Ground Station System 4-1 Overview of Optical Ground Station with 1.5 m Diameter KUNIMORI Hiroo, TOYOSHMA Morio, and TAKAYAMA Yoshihisa The OICETS experiment, LEO Satellite-Ground

More information

技術創造の社会的条件

技術創造の社会的条件 1999 10 21 21 i ... 1 1... 3 1-1. 20...3 1900 1945 3 1945 198x 4 198x 1999 5 1-2....7 1945 198x 7 HEMT 8 198x 1999 9 9 1-3....11 11 12 13 18 2 New Institutions... 21 2-1....21 22 24 26 2-2....27 28 29

More information

ST 1 MOS MOS 1 1 2 8 1 CMOS CMOS 7mm3mm LSI 10 SSIS

ST 1 MOS MOS 1 1 2 8 1 CMOS CMOS 7mm3mm LSI 10 SSIS No.28 1 SSIS 5 244 38 1600 SSIS 53 2 SSIS Human Net Work Knowledge Chain 3 11 1 SSIS OB SSIS 1 NoSide 2 5 2003 7 RCA 9 11 12 13 ST 1 MOS MOS 1 1 2 8 1 CMOS CMOS 7mm3mm LSI 10 SSIS 21 GM RCA IBM GE WE 20

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

imai@eng.kagawa-u.ac.jp No1 No2 OS Wintel Intel x86 CPU No3 No4 8bit=2 8 =256(Byte) 16bit=2 16 =65,536(Byte)=64KB= 6 5 32bit=2 32 =4,294,967,296(Byte)=4GB= 43 64bit=2 64 =18,446,744,073,709,551,615(Byte)=16EB

More information

1 2 2/17

1 2 2/17 1/17 1 2 2/17 ROM ROM 2 CD-ROM CD CD ROM LSI ROM CD-ROM 3/17 3 http://www.pc-view.net/special/000411/ 4/17 4 http://www.pc-view.net/special/000411/page2.html 5 5/17 6/17 7/17 2PS2 6 8/17 7 9/17 8 10/17

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

表 -1 シリアルデータ 転 送 性 能 表 -2 ランダムアクセス 時 間 図 -3 NAND セルアレイ NAND FTL NAND ECC NAND SSD NAND NAND NAND NAND 1 SSDの 動 作 およびHDDとの 比 較 * SSDの 性 能 SSD 1.8 2.5 H

表 -1 シリアルデータ 転 送 性 能 表 -2 ランダムアクセス 時 間 図 -3 NAND セルアレイ NAND FTL NAND ECC NAND SSD NAND NAND NAND NAND 1 SSDの 動 作 およびHDDとの 比 較 * SSDの 性 能 SSD 1.8 2.5 H フラッシュメモリの 最 新 技 術 動 向 解 SSDへの 応 用 竹 内 健 東 京 大 学 大 学 院 工 学 系 研 究 科 電 気 系 工 学 専 攻 工 学 部 電 気 電 子 工 学 科 NAND フラッシュメモリのコストが 劇 的 に 低 下 したことによ り,NAND フラッシュメモリを PC のストレージとして 使 う SSD(Solid-State Drive)が 注 目 を 集

More information

R1LV0816ASB データシート

R1LV0816ASB データシート R1LV0816ASB 5SI, 7SI 8Mb Advanced LPSRAM (512k word x 16bit) RJJ03C0292-0100 Rev.1.00 2009.11.30 概 要 R1LV0816ASB は シリコンゲート 0.15µm CMOS プロセス 技 術 を 用 いた 524,288 語 16 ビット 構 成 を 持 ち 単 一 電 源 で 動 作 する 非 同 期

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i 20 BIST LSI LSI Implementation of Self-Timed Ultra High Speed BIST Circuit 1090384 2009 3 5 BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M

More information

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli 1 -- 7 7 2008 12 7-1 7-2 c 2011 1/(12) 1 -- 7 -- 7 7--1 2008 12 1960 1970 1972 U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE 7--1--1 7 1 7 1 1 netlist SPICE 2)

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

ApresiaNPシリーズ ユーザーズガイド

ApresiaNPシリーズ ユーザーズガイド 3 1. 2. SFP/SFP+/QSFP+ 3. 3 1. 1. REF: 1.1 2 ApresiaNP7000-48X6L ApresiaNP7000-48X6L SFP/SFP+ 1000BASE-X/10GBASE-R QSFP+ 40GBASE-R 1-1 ApresiaNP7000-48X6L ApresiaNP7000-48X6L 1-1 ApresiaNP7000-48X6L SFP/SFP+

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ Dual High Speed, Low Power, Low Distortion, Voltage Feedback Amplifiers Literature Number: JAJS854 100MHz 3000V/ s 50mA 2.3mA/ 15V ADSL 5V VIP III (Vertically Integrated PNP) LM6171 Dual High Speed, Low

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

スライド タイトルなし

スライド タイトルなし (1) - E-Mail: katto@waseda.jp Y U V R G B (1/30 ) RGB / YUV = B G R V U Y 0.31 0.52 0.21 0.32 0.28 0.60 0.11 0.59 0.30 RGB YUV CCIR 601 4:4:4 4:2:2 4:2:0 Y Y Y U V U V U V YUVUV UV 4:2:0 4:2:2 (RGB8 )

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

EV 1) 2) 3) Implementation and Experimental Validation of Control System for Ground Facilities and Electric Vehicles in Dynamic Wireless Power Transfe

EV 1) 2) 3) Implementation and Experimental Validation of Control System for Ground Facilities and Electric Vehicles in Dynamic Wireless Power Transfe EV 1) 2) 3) Implementation and Experimental Validation of Control System for Ground Facilities and Electric Vehicles in Dynamic Wireless Power Transfer Katsuhiro Hata Takehiro Imura Yoichi Hori Dynamic

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

SH7670グループ Hi-Speed USB 2.0 基板設計ガイドライン アプリケーションノート

SH7670グループ Hi-Speed USB 2.0 基板設計ガイドライン アプリケーションノート SH7670 Hi-Speed USB 2.0 R01AN0700JJ0100 Rev.1.00 Hi-Speed USB 2.0 LSI SH7670/SH7671/SH7672/SH7673 SH767x USB 1.... 2 2. USB... 3 3.... 5 4.... 7 5. VBUS... 9 6. REFRIN... 10 7. EMI/ESD... 11 8.... 12 R01AN0700JJ0100

More information

R1LV1616Rシリーズ

R1LV1616Rシリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

2

2 8 23 32A950S 30 38 43 52 2 3 23 40 10 33 33 11 52 4 52 7 28 26 7 8 8 18 5 6 7 9 8 17 7 7 7 38 10 12 9 23 22 22 8 53 8 8 8 8 1 2 3 17 11 52 52 19 23 29 71 29 41 55 22 22 22 22 22 55 8 18 31 9 9 54 71 44

More information

Microsoft PowerPoint -

Microsoft PowerPoint - LSI の電源雑音のオンチップ測定回路 NEC システムデバイス研究所高宮真 taka@mel.cl.nec.co.jp システム 外来ノイズ 内部ノイズ LSI オンチップ測定回路 ボード パッケージ 発表内容 2 LSI 設計における電源ノイズ問題 オンチップ測定回路の必要性と可能性 オンチップ測定回路の紹介 電源ノイズ波形測定 電源ノイズスペクトラム測定 製品搭載が可能な回路 まとめ 3 Power/Signal

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information