( 2) J P A 特許請求の範囲 請求項 1 情報を符号化して記録し 再生信号から元の情報を復号する信号処理方法において 記録情報の符号化時に シンボル単位で誤りを検出 訂正する符号を計算して付加し 再生信号から記録された情報を復号する際に 復号された情報と同時に該

Size: px
Start display at page:

Download "( 2) J P A 特許請求の範囲 請求項 1 情報を符号化して記録し 再生信号から元の情報を復号する信号処理方法において 記録情報の符号化時に シンボル単位で誤りを検出 訂正する符号を計算して付加し 再生信号から記録された情報を復号する際に 復号された情報と同時に該"

Transcription

1 J P A ( 57) 要約 課題 余分な冗長ビットを必要としない構成が簡素でしかも復号誤り率の低い信号処理方法及び回路を提供する 解決手段 記録データは シンボル誤り訂正符号化器 によりブロック インターリーブが行われた後 第 1の誤り訂正符号化が行われる 次に シンボル誤り訂正符号化器 ではブロック全体に対する符号化 ( 例えばRS 符号化 ) が行われる 再生処理回路 1では 各ビットの信頼度情報を出力する 次にこの信頼度情報を使用して 第 1の誤り訂正復号器 1で記録再生の際に発生したランダム誤りの訂正を行う この際に繰り返し復号によって ランダム誤りに対する性能向上を図ることが出来るので 訂正後のデータは再生処理回路 1 に戻される この繰り返し処理が終了後に データを2 値化して硬判定によるシンボル単位の誤り訂正を行った後にシンボル誤り訂正復号器 1に出力する 選択図 図 1

2 ( 2) J P A 特許請求の範囲 請求項 1 情報を符号化して記録し 再生信号から元の情報を復号する信号処理方法において 記録情報の符号化時に シンボル単位で誤りを検出 訂正する符号を計算して付加し 再生信号から記録された情報を復号する際に 復号された情報と同時に該情報の信頼度情報を生成し 復号された情報をシンボル単位の誤り訂正符合の冗長度に対する検査行列の一部を利用して軟判定し 前記信頼度情報の生成と軟判定を連接反復し この後 シンボル単位で誤りを硬判定することを特徴とする信号処理方法 請求項 2 前記シンボル単位で誤りを検出 訂正する符号を計算して付加するステップは 短周期でシンボル単位の誤り訂正符号の冗長度を付加するステップと 長周期で冗長度を付加するステップを含み 前記軟判定を行うステップは前記短周期の冗長度に対する検査行列の一部を用いることを特徴とする請求項 1 記載の信号処理方法 請求項 3 前記信頼度情報は前記軟判定を行う際に利用し 前記軟判定の結果は前記信頼度情報を生成するステップにフィードバックし これらを繰り返し行って復号を行うことを特徴とする請求項 2 記載の信号処理方法 請求項 4 情報を符号化して記録し 再生信号から元の情報を復号する信号処理回路において 記録情報の符号化時に シンボル単位で誤りを検出 訂正する符号を計算 付加する誤り訂正符号化手段と 再生信号から記録された情報を復号する際に 復号された情報と同時に該情報の信頼度情報を生成する再生手段と シンボル単位の誤り訂正符合の冗長度に対する検査行列の一部を利用して軟判定を行う軟判定復号手段と これらの復号過程を連接反復してデータを復元後 シンボル単位で誤りを硬判定で検出 訂正する手段とを有することを特徴とする信号処理回路 請求項 5 前記軟判定復号手段は 誤りのシンドロームの次数を判定し 所定の次数以上で誤り訂正を実行停止することを特徴とする請求項 4 記載の信号処理回路 請求項 6 前記誤り訂正符号化手段は 短周期でシンボル単位の誤り訂正符号の冗長度を付加する手段と 長周期で冗長度を付加する手段とを有し 前記軟判定復号手段は前記短周期の冗長度に対する検査行列の一部を用いて軟判定することを特徴とする請求項 4 記載の信号処理回路 請求項 7 前記軟判定複合手段は 短周期の誤り訂正復号した結果が訂正不能の場合 消失訂正を行い 訂正不能フラグ( 消失フラグ ) を長周期の誤り訂正復号手段に出力することを特徴とする請求項 6 記載の信号処理回路 請求項 8 前記軟判定複合手段と再生手段との間に 復号された情報の信頼度情報をフィードバックする手段を有し これらを繰り返して動作させて復号を行うことを特徴とする請求項 4 記載の信号処理回路 請求項 9 前記軟判定復号手段からの信頼度情報を前記再生手段に少なくとも1 回フィードバックすることを特徴とする請求項 8 記載の信号処理回路 請求項 前記短周期でシンボル単位の誤り訂正符号の冗長度を付加する手段が対象とするデータを パーシャル レスポンス処理で発生する連続誤りを分散するインタリーバを有することを特徴とする請求項 6 記載の信号処理回路 発明の詳細な説明 0001

3 ( 3) J P A 発明の属する技術分野 本発明は 記録媒体にデータを符号化して記録し 再生と復号を行う記録再生装置におい て 記録するデータの符号化方法と 再生信号から誤りの少ない復号データを得るための 信号処理方法とその回路に関する 0002 従来の技術 記録再生装置 例えば磁気ディスク装置 ( 以下 HDD) 等への高記録密度化の要望はます ます高まっており これを支える記録再生系の信号処理技術も高記録密度化に対応してき た 0003 図 2 は従来の HDD におけるデータ記録再生処理回路の一例を表わしたものである 図 2 において 記録側では 入力端子 1 に入力された記録データは シンボル誤り訂正符号化 器 により誤り訂正符号化される 誤り訂正符号にはリード ソロモン符号 ( 以下 RS 符号と記す ) が使用されることが多い さらに パリティ符号化器 21 によりパリティビ ットが付加される ( 省略される場合もある ) この信号は記録処理回路 で同期信号等 が付加され 記録アンプ 記録ヘッド を介して記録媒体 60 に情報が記録される 0004 再生側では記録媒体 60から再生ヘッド1で読み出した信号を再生アンプ1で増幅し 再生処理回路 1で同期信号検出などが行われ パリティ復号器 121に入力される パリティ復号器 121では信頼度情報とパリティを利用して ランダム誤りを訂正した後 シンボル誤り訂正復号器 1で記録再生の際に発生した欠陥によるバースト誤り等の符号誤りの訂正を行い 出力端子 2に出力する 0005 磁気記録チャネルは 周波数応答が微分器およびローパス フィルタが直列に接続されたもので近似できる 磁気記録チャネルは Dを1 時刻の遅延演算子とすると その符号間干渉が (1-D)(1+D) n ( n=1,2,3, ) のインパルス応答を持つパーシャル レスポンス チャネルとしてモデル化される 0006 このようなチャネルに対して 再生処理回路 1にはビタビ復号器が使用されている ビタビ復号器は 符号間干渉を有する帯域制限のあるチャネルにおける伝送系列の最尤推定を行うのに用いられる すなわち 可能な符号系列の中から 例えば 受信信号系列の自乗誤差の総和など 受信信号の系列に関する距離メトリック ( 距離関数 ) を最小化する符号系列を選択する 0007 非特許文献 1には ランダム誤りを訂正するため パリティ符号を細かな周期で付加し 再生時にこのパリティ情報と再生信号の信頼度情報とを利用して訂正する方法が提案されている 0008 パリティ訂正方式では データ記録量の増加や データ転送レートの高速化に伴うランダム誤りの増加を全て訂正することは出来ず 十分な性能を確保することが出来ないという問題があった 0009 符号性能の理論的限界としては いわゆるシャノンの通信路符号化定理により与えられるシャノン限界が知られている このシャノン限界に近い性能を示す符号化方法として 例えば 特許文献 1に記載されている ターボ符号 復号と呼ばれる並列連接畳み込み符号 ( Parallel Concatenated Convolutional Cod e s) による符号化 復号方法が知られているが ここでは簡単に説明する 00 この並列連接畳み込み符号による符号化は 2つの畳み込み符号化器とインターリーバと

4 ( 4) J P A を並列に連接して構成される装置により行われる そして 並列連接畳み込み符号の復号は 軟出力(soft-output) を出力する2つの復号回路により構成される装置により行われ 2つの復号回路の間で情報をやり取りし 最終的な復号結果が得られる 0011 また 並列連接ではなく 縦列連接畳み込み符号による符号化方法も知られている この縦列連接畳み込み符号とRS 符号を組合せてエラー訂正を行う方法が特許文献 2で提示されている この発明では 外符号としてRS 符号化を行ったデータに対して 内符号として縦列連接畳み込み符号化変調方式が採用される 復号では 2 段の縦列連接符号の復号が行われる その後にRS 復号が行われる この際 復号データ中に含まれる誤りの多少を判定する判定部では 決められた基準 ( 誤りの多少 ) に応じて消失訂正を行うかどうかを判定して 消失フラグをRS 復号部に出力し この消失フラグの有無によって通常のエラー訂正を行うか 消失訂正を行うかを決定するものであった 0012 非特許文献 1 C onway, A new target response with pari t y coding for high d ensity magnetic recording channels.(199 8 ) IEEE Trans Magn, 3 4(4) pp 特許文献 1 米国特許第 号明細書 ( カラム7- Fig.1-4) 特許文献 2 特開 号公報 ( 第 9-11 頁 図 6-9) 0013 発明が解決しようとする課題 しかし 並列連接畳み込み符号復号方式および縦列連接復号方式では 畳み込み符号化変調方式を実現するための冗長信号 ( 冗長ビット ) が必要になり これに起因するコードレート損失が必然的に発生する この結果 トータル性能を十分向上することが出来ないという問題があった 0014 本発明の目的は 余分な冗長ビットを必要としない簡素な信号処理方法及び信号処理回路を提供することにある 0015 課題を解決するための手段 上記課題を解決するための手段として 本発明では 記録するデータにシンボル単位で誤りを検出 訂正するシンボル誤り訂正符号化手段と この再生信号から上記符号を復号する際にビット単位で誤りを検出訂正する手段を付加し ビット単位で誤りを検出訂正する手段では シンボル誤り訂正手段の検査行列の一部を利用する軟判定復号で得たデータに対する信頼度の情報に基づき 誤りをビット単位で訂正するとともに 誤りが含まれている系列のビット単位の信頼度情報を生成し これをパーシャル レスポンス チャネルに戻し 新たに反復的にチャネル復号を続行し この結果得られた再生信号をシンボル誤り訂正復号する 0016 発明の実施の形態 以下 本発明の一実施例による記録再生装置について 図面を参照して説明する 図 1は磁気記録再生装置の概略構成を示す 記録側では 入力端子 1に入力された記録データは 図 3に示すように シンボル誤り訂正符号化器 (1)により小ブロック単位のブロック インターリーブが行われた後 第 1の誤り訂正符号化が行われる 誤り訂正符号には 例えばRS 符号を使用する 次に図 1に示すように シンボル誤り訂正符号化器 (2 ) ではブロック全体に対する符号化 ( 例えばRS 符号化 ) が行われる この2つのデ

5 ( 5) J P A ータが切り替えられて記録処理回路 に送られる 記録処理回路 では同期信号等が付加され 記録アンプを介して記録ヘッドに入力され 記録媒体 60に情報が記録される 0017 再生側では記録媒体 60から再生ヘッド1で読み出した信号を再生アンプ1で増幅し 再生処理回路 1に出力する 図 4に再生処理回路 1の構造を更に詳しく示す 再生処理回路 1ではまず等価器により所定のパーシャル レスポンス信号をもとに BCJRアルゴリズムやソフト アウトプット ビタビ アルゴリズム (soft o utput Viterbi algorithm) を用いて 各ビットの信頼度情報 ( likelihood information) を出力する 0018 次にこの信頼度情報を使用して 第 1の誤り訂正復号器 1で記録再生の際に発生したランダム誤りの訂正を行う この際に繰り返し復号によって ランダム誤りに対する性能向上を図ることが出来るので 訂正後のデータは再生処理回路 1に戻される この繰り返し処理を終了するのは予め決められた回数 または信頼度情報の値が設定された値などとすれば良い 0019 この繰り返し処理が終了後に データを2 値化して硬判定によるシンボル単位の誤り訂正を行った後にシンボル誤り訂正復号器 (2)1に出力する なお訂正不能の場合にはブロック単位で訂正不能フラグ ( 消失フラグ ) を同時に出力する 次にこのシンボル誤り訂正復号器 (2)1では通常のRS 符号による硬判定の誤り訂正を行う この際 第 1 の誤り訂正復号器 1からの消失フラグがあったブロックに関しては 消失訂正を行い 最終的な再生データを出力端子 2に出力する 00 なお 第 1の誤り訂正復号器 1では単純に硬判定により誤り訂正を行い 次にこの信号に残留する誤りをシンボル誤り訂正回路 (2)1で訂正する方法も構成可能である いずれを選択するかは磁気ディスク装置の誤りの状況に依存する 比較的誤りが少ない場合は シンボル誤り訂正過程を実行し 訂正できない場合のみビット誤り訂正を行えば良い 一方 誤りが多い場合には, 先にビット誤り訂正を行うのが合理的である 0021 以下 ビット誤り訂正過程を詳細に説明する 図 5は本発明を用いた誤り訂正符号のデータとパリティの関係を示したものである 入力データに対して シンボル誤り訂正符号 ( 例えばRS 符号 ) の検査データ ( パリティ ) を計算してデータに付加する 図 5において RSパリティ2に示すように 検査データを付加する位置は 一般にデータの最後部で一括して付加される 一方 RSパリティ1に示すように 比較的短いデータ系列毎に付加することも 第 1の誤り訂正復号器 (1)1における回路規模などを低減すると同時にビット誤りの訂正能力向上に有効である 0022 本実施例は基本的にどちらの場合にも成立するが 実用的に容易な点で 図 5に示すごとく 短周期のRSパリティ1とRSパリティ2の両方を使用する場合について説明する 具体例として 現行の磁気ディスク装置で使用されている96ビットセクタフォーマッットに適合する場合を示す 例えば 96ビットの長さのデータにRSパリティを付加するには GF(2 1 0 ) の上で演算可能なRS 符号が利用できる すなわち 1シンボルがビットの構成になる ここで 入力データ数をK( シンボル ) 付加するR S 符号のパリティ数をM( シンボル ) とすると 最大訂正可能なシンボル数は M/2シンボルとなる 0023 以下では一例として 最大訂正可能なシンボル数 (M/2) が25シンボルで 1シンボルがビットの例 ( 最大訂正可能なビット数 :2ビット) で説明をするが この数字に限定されるものではない 25シンボルの訂正を可能にするには RSパリティの総

6 ( 6) J P A 数はシンボル必要である ここで RSパリティ1に34シンボル RSパリティ2 に 16シンボルを割り当てるものとする したがって 約 24シンボル毎のデータに R S パリティ1を2シンボルを割り当てることが可能になる ここで2シンボルの冗長ビットを有するRSコードの検査行列 Hは次式で与えられる 0024 数 ここで α,eはgf(2 1 0 ) の原始元と単位元である 単位元はいわゆる 行 列の単位行列で表示できる 2シンボルのパリティをそれぞれP,Qとし 24シンボルのデータをD 1 D とすると h [PQD 1 D 2 4 ] =0 (2) の関係がある 0026 ここで検査行列の上段は単位行列のみで構成されているから これは元のデータ系列 24 シンボルと2シンボルのRSパリティ1で構成される信号系列に対して 行の単純パリティを構成していることになる すなわち 元のデータ系列 24シンボルと2シンボルの RSパリティ1で構成される信号系列のデータをビットごとに選んだ の系列に対し それぞれ単純パリティの制約が付加されているとみなすことができる 0027 図 1の第 1の誤り訂正復号器 1ではRS 符号の持つこの性質を用いてビット誤りの訂正ならびに各ビットに対する信頼度情報を生成する 単純パリティはブロック誤り訂正符号の最も単純なクラスに属する さて このような単純パリティに対する信頼度の生成は Belief Propagation Algorithm( 以下 BPAと略す ) を使用することにより可能となることは周知である 0028 さて 図 6に24シンボルすなわち2ビットのデータ配列の一例を示す ここでは2 4 0ビットのデータを16 行 15 列のマトリクスの内容に また16および17 列にR S 符号の冗長ビットを配置している したがって 各パリティ制約は行方向に付加されることになる 周知のように偶パリティ制約は 制約の範囲内のデータに対し 奇数個の誤りは検出可能であるが 偶数個の誤りは検出できない 0029 この特性は たとえ検出にBPAを用いても変わらない すなわち 偶数個の誤りが含まれる場合には 所要の誤り訂正は言うに及ばず信頼性のデータも抽出することはできない 磁気ディスクに多用されているEEPR4チャネルは (1-D)(1+D) n ( n=3 ) のインパルス応答を持つパーシャル レスポンス チャネルである このEEPR4チャネルでは白色雑音に対しては3ビットの連続誤りが主に発生する 00 図 6の斜線部分に示すごとく 3ビットの連続誤りが2 個互いに重なるように発生すると この誤りを上記単純パリティで検出も訂正もできない したがって 2 個の3ビット連続誤りが少なくとも1ビットは重ならないように データをランダム化することが検出性能改善に極めて有効である このように配置したデータからBPAによって得られる情報をパーシャル レスポンス チャンネルに戻すことを考える 0031 パーシャル レポンス チャネルで発生する連続誤りは その中の1ビットでも正しく復

7 ( 7) J P A 号できるなら BCJR アルゴリズムを実行する CHAPP( チャネル出力事後確率演算 器 ) のパス選択ミスによる連続誤りを訂正できる可能性が高くなる この様な条件を満た すマトリクスの行数を m 列数を n とすると m C 3 - mn 0 (3) が m n の必要条件である 0032 したがって 本実施例では m n において上記条件を完全に満足するパリティ構造を考 える 実際に図 7 にこの条件を満足するパリティ行列の 1 例を示す 図から分かるように このパリティ構造はデータをインタリーブする構造になっている すなわち RS 符号 化する前にあらかじめ データを図 7 に示すインタリーブを行い 符号化する この結果 得られた P,Q のパリティに対しても同様のインタリーブを行い 少なくとも 2 個の 3 ビ ット連続誤りの場合には 完全に検出可能とする 個の 3 ビット連続誤りが 2 列にわたる場合にも すくなくとも 1 ビットの検出は可能で ある さて RS 符号の 1 シンボル長さは ビットとなっているから 上記インタリー バ マトリクスにおいて 行分のデータに対し P,Q の冗長シンボルが付加される したがって 残りの 11 行から 16 行のデータは次の冗長シンボルに割り当てられるこ とになる もちろん GF(2 1 6 ) の RS 符号により 16 行 17 列をすべて一つの R S 符号の系列に対応させることも可能である なお 式 (3) に示す制約は EEPR4 で 発生頻度の高い誤りを考慮しているが 他のパーシャル レスポンスにおいても同様の考 えを適用できることは明らかである ここまで述べたインタリーブ処理は 記録前にシン ボル誤り訂正符号化器 であらかじめ行う 0034 図 8 にビット誤り訂正後に シンボル誤り訂正を実行する例を示す ビット誤り訂正回路 1 15 には再生処理回路 1 で得られる各ビットの信頼度情報が入力される この信頼 度情報は前述したように所定のパーシャル レスポンス信号をもとに BCJR アルゴリ ズムやソフト アウトプット ビタビ アルゴリズムを用いることで生成できる ビット 誤り訂正回路 115 では BPA により RS 符号の検査行列の内 単純パリティに対応 する検査行列部分を用いて 各ビットの信頼度情報を更新する 0035 この各ビットの信頼度情報を 2 値化することで 元のデータ系列が復元できる しかしな がら この中には誤りが含まれていることがある そこで ビット誤り訂正回路 115 に て 再生処理回路 1 で得られる各ビットの信頼度情報に対する外部情報を BPA によ り生成する 外部情報とは 各パリティ制約を満たすことで 他のビットから誘導される あるビットの信頼度情報である これを新たに再生処理回路 1 で得られる各ビットの 信頼度情報に加算することで ビットの信頼度を格段に向上させることが可能になる 0036 以下, この過程を反復することで さらにビットの信頼度を向上させることができる こ の過程でデータ系列に含まれる誤りが 1 シンボル以下になれば RS1 のパリティを用い ることで この誤りを訂正することができる もちろん 反復後に 1 シンボル以下の誤り になっているか否かは一般に判定する手段がない いま RS 符号の演算を行う体が GF( ) であり この体の上では 最大 23 シンボルの長さの符号が実現できる 0037 一方 実際の符号長さは 24 シンボルとしている したがって シンドロームは (1) 式 の検査行列を見れば明らかなように 下段で α 2 5 までのものが生じる しかしながら 複数のビットに誤りが発生すれば α から α までのシンドロームが発生する可能性が高い したがって RS コードで訂正する際にシンドロームの値を検査し α 2 5 以 上の値が発生する場合には 訂正を禁止することでいわゆる正しい符号を誤って訂正する ( 誤訂正 ) ことによる誤りの増加が低減できる 0038

8 ( 8) J P A この第 1の誤り訂正復号器 1のデータをシンボル誤り訂正回路 (2)1で訂正した後で端子 2から出力する 0039 以上の説明は面内記録方式に関して説明したが 垂直記録方式の場合にも ほぼ同様の構成で本発明を適用できる事は言うまでもない 00 面内磁気記録チャネルでの再生波形は (1-D)(1+D) n ( n=1,2,3, ) のインパルス応答を持つパーシャル レスポンス チャネルとしてモデル化される 0041 これに対して垂直磁気記録チャネルでの再生波形は (1+D) n ( n=1,2,3, ) のインパルス応答を持つパーシャル レスポンス チャネルとしてモデル化される これをそのまま処理する方法があるが再生処理回路の波形等化の部分が変わるだけである また 微分回路を通して面内と同様の処理を行うことも可能であり その場合は実施例に示した方法で処理する事が可能である 0042 なお 本発明は その趣旨を逸脱しない範囲で適宜変更が可能であることはいうまでもない 0043 発明の効果 以上説明したように 本発明によれば 高密度記録化やデータ転送レートの高速化に伴う ランダム誤りの増加に対して RS 符号の冗長度を活用して データ系列の信頼度情報の生成を行い これを再び再生回路の事後確率復号器に加え データ系列の信頼度情報を更新する これを反復的に行うことでデータに含有される誤りを低減する このようにRS 符号以外の余分な冗長信号を付加することなく 十分性能を確保することが出来る高密度記録に適した復号方法を提供することができる 図面の簡単な説明 図 1 本発明の一実施例による磁気記録再生装置の構成図である 図 2 従来技術による磁気記録再生装置の構成図である 図 3 本発明の一実施例による符号化回路の構成図である 図 4 本発明の一実施例による復号化回路の構成図である 図 5 本発明の一実施例によるReed-Solomon 符号のセクタ中の配置を示す図である 図 6 従来のブロック インタリーバの一例を示す図である 図 7 本発明の一実施例によるブロック インタリーバを示す図である 図 8 本発明の一実施例による反復復号の構成を説明する図である 符号の説明 1 ヘ 1

9 ( 9) J P A 図 1 図 3 図 4 図 2 図 5 図 7 図 6 図 8

10 ( ) J P A フロントページの続き ( 51)Int.Cl. 7 FI テーマコード ( 参考 ) G11B /18 570F G11B /18 570H G11B /18 572B G11B /18 572F H03M 13/45 ( 72) 発明者三田誠一愛知県名古屋市天白区久方二丁目 12 番地 1 学校法人トヨタ学園内 Fターム ( 参考 ) 5B001 AA01 AA11 AA13 AB05 AD04 5J065 AC03 AD02 AD11 AE06 AF02 AG06 AH01 AH17 AH19 AH21

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E >

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E > 目次 参考文献安達著 : 通信システム工学, 朝倉書店,7 年. ディジタル変調. ディジタル伝送系モデル 3. 符号判定誤り確率 4. 元対称通信路 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 変調とは?. ディジタル変調 基底帯域 ( ベースバンド ) 伝送の信号波形は零周波数付近のスペクトルを持っている. しかし, 現実の大部分の通信路は零周波数付近を殆ど伝送することができない帯域通信路とみなされる.

More information

<4D F736F F F696E74202D2091E6824F82538FCD8CEB82E88C9F8F6F814592F990B382CC8CB4979D82BB82CC82505F D E95848D8682CC90B69

<4D F736F F F696E74202D2091E6824F82538FCD8CEB82E88C9F8F6F814592F990B382CC8CB4979D82BB82CC82505F D E95848D8682CC90B69 第 章 誤り検出 訂正の原理 その ブロック符号とその復号 安達文幸 目次 誤り訂正符号化を用いる伝送系誤り検出符号誤り検出 訂正符号 7, ハミング符号, ハミング符号生成行列, パリティ検査行列の一般形符号の生成行列符号の生成行列とパリティ検査行列の関係符号の訂正能力符号多項式 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 誤り訂正符号化を用いる伝送系 伝送システム

More information

<4D F736F F F696E74202D2091E6824F82568FCD8CEB82E892F990B382CC8CF889CA82BB82CC82515F B834E838A B9797A3959C8D F A282E982C682AB82CC8CEB82E897A62E >

<4D F736F F F696E74202D2091E6824F82568FCD8CEB82E892F990B382CC8CF889CA82BB82CC82515F B834E838A B9797A3959C8D F A282E982C682AB82CC8CEB82E897A62E > 第 7 章 誤り訂正の効果その : ユークリッド距離復号法を用いるときの誤り率 ユークリッド距離に基づく最尤復号ブロック符号のユークリッド距離に基づく最尤復号畳み込み符号のユークリッド距離に基づく最尤復号 安達 : コミュニケーション符号理論 ユークリッド距離に基づく最尤復号 送信情報系列 Xx x x x x x 5.. を符号化して得られた符号系列 5.. を送信する. 伝送路途中の雑音のため誤りが発生するので,

More information

混沌系工学特論 #5

混沌系工学特論 #5 混沌系工学特論 #5 情報科学研究科井上純一 URL : htt://chaosweb.comlex.eng.hokudai.ac.j/~j_inoue/ Mirror : htt://www5.u.so-net.ne.j/j_inoue/index.html 平成 17 年 11 月 14 日第 5 回講義 デジタルデータの転送と復元再考 P ({ σ} ) = ex σ ( σσ ) < ij>

More information

15群(○○○)-8編

15群(○○○)-8編 4 群 ( モバイル 無線 )- 1 編 ( 無線通信基礎 ) 5 章誤り訂正技術の応用 概要 伝送路で発生する誤りを受信側で訂正する誤り訂正 (FEC: Forward Error Correction) や, 誤りを検出して誤ったデータを再送信する自動再送制御 (ARQ: Automatic Repeat Request) により, 高品質な無線伝送を実現するのが誤り制御技術 ( 広い意味での誤り訂正技術

More information

スライド 1

スライド 1 1 非対称通信路の通信路容量を達成する 符号化法に関する最近の進展 東京大学大学院新領域創成科学研究科複雑理工学専攻講師本多淳也 情報理論研究会 2018/5/18 概要 2 非対称通信路の符号化 polar 符号を用いる方式 無歪み圧縮を用いた符号化法の一般的な枠組み Miyake-Muramatsuの方式 連鎖構造に基づく方式 無歪み圧縮の逆操作について 通信路符号化 3 ノイズを含む通信路を用いて情報を伝送したい

More information

No43 テレビ放送電波はどんな形?(その1・概説)

No43 テレビ放送電波はどんな形?(その1・概説) Shu-chan の 放送ネットワーク道しるべ 東海道 ( 沼津宿 ) テレビ放送 No43 < テレビ放送電波はどんな形?( その 1 概説 )> 今回から 13 回に亘りテレビ放送電波の形や各種サービスに関して解説します まず 概説からはじめましょう 早速 地上デジタル放送の電波と従来のアナログ放送の電波を比較しながらみてみましょう アナログ放送電波と地上デジタル放送電波の比較いずれの放送も 1

More information

資料2-3 要求条件案.doc

資料2-3 要求条件案.doc 資料 2-3 社団法人電波産業会デジタル放送システム開発部会 高度 BS デジタル放送及び高度広帯域 CS デジタル放送の要求条件 ( 案 ) 1 システム インターオペラビリティ 衛星放送 地上放送 CATV 蓄積メディアなど様々なメディア間でできる限り互換性を有すること サービス 実時間性 高機能化 / 多様化 拡張性 アクセサビリティ システム制御 著作権保護 個人情報保護 現行のデジタルHDTVを基本とした高画質サービスを可能とすること

More information

Microsoft PowerPoint - chapter6_2012.ppt [互換モード]

Microsoft PowerPoint - chapter6_2012.ppt [互換モード] 章誤り制御 電子情報工学科 年前期ネットワークアーキテクチャ情報科学センター / ネットワークデザイン研究センター福田豊 Agenda 学ぶ主な内容は以下の つ 誤りを検出する方法 誤り検出後, 訂正する方法 誤り検出方法 パリティ,CRC 誤り制御 ARQ,FEC ARQ 方式の紹介とその性能評価 誤り訂正 Layer と Layer における誤り制御 1 情報. 1 はじめに (1) 伝送路における電気的な雑音等により内容が変化

More information

3. 測定方法 測定系統図 測定風景写真

3. 測定方法 測定系統図 測定風景写真 ワンセグ切り出し方式室内実験結果 北日本放送株式会社 目的 ワンセグ切り出し方式の 固定受信への影響軽減 を検証 1. 内容 SFN 干渉による固定受信への影響について以下を測定し比較する フルセグ( 希望波 ) にフルセグ ( 再送信波 ) が重なった時の (B 階層 ) のC/N フルセグ( 希望波 ) にワンセグ切り出し ( 再送信波 ) が重なった時の (B 階層 ) のC/N 2. 被測定装置

More information

資料 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 90~108MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 90~10

資料 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 90~108MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 90~10 資料 4-6-3-1 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 9~8MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 9~8MHz 帯に配 置し FM 放送の所要の受信品質を満足するための干渉 DU 比を求める 評価基準 S/N=5dBを満足する受信品質を所要の受信品質とする

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

Microsoft Word - NumericalComputation.docx

Microsoft Word - NumericalComputation.docx 数値計算入門 武尾英哉. 離散数学と数値計算 数学的解法の中には理論計算では求められないものもある. 例えば, 定積分は, まずは積分 ( 被積分関数の原始関数をみつけること できなければ値を得ることはできない. また, ある関数の所定の値における微分値を得るには, まずその関数の微分ができなければならない. さらに代数方程式の解を得るためには, 解析的に代数方程式を解く必要がある. ところが, これらは必ずしも解析的に導けるとは限らない.

More information

画像解析論(2) 講義内容

画像解析論(2) 講義内容 画像解析論 画像解析論 東京工業大学長橋宏 主な講義内容 信号処理と画像処理 二次元システムとその表現 二次元システムの特性解析 各種の画像フィルタ 信号処理と画像処理 画像解析論 処理の応答 記憶域 入出力の流れ 信号処理系 実時間性が求められる メモリ容量に対する制限が厳しい オンラインでの対応が厳しく求められる 画像処理系 ある程度の処理時間が許容される 大容量のメモリ使用が容認され易い オフラインでの対応が容認され易い

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

SAP11_03

SAP11_03 第 3 回 音声音響信号処理 ( 線形予測分析と自己回帰モデル ) 亀岡弘和 東京大学大学院情報理工学系研究科日本電信電話株式会社 NTT コミュニケーション科学基礎研究所 講義内容 ( キーワード ) 信号処理 符号化 標準化の実用システム例の紹介情報通信の基本 ( 誤り検出 訂正符号 変調 IP) 符号化技術の基本 ( 量子化 予測 変換 圧縮 ) 音声分析 合成 認識 強調 音楽信号処理統計的信号処理の基礎

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

No89 地上デジタル放送受信機(その1・概説)

No89 地上デジタル放送受信機(その1・概説) Shu-chan の 放送ネットワーク道しるべ 東海道 ( 御油宿 ) テレビ放送 No89 < 地上デジタル放送受信機 ( その 1 概説 )> 今回から地上デジタル放送の受信機について8 回に亘り解説します 各回の受信機の内容は No44~No53 の テレビ放送電波はどんな形? にて掲載した送信電波と表裏一体の関係になります これらと照らし合わせながらお読み下さい 1 地上デジタル放送の規格

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LDACS1 のビット誤り率特性の改善 監視通信領域 北折潤 塩見格一 2016/6/10 目次 はじめに LDACS1 概要 フェージング環境下のLDACS1 BER 特性 改善策 実験 考察 まとめ 1 はじめに Air Navigation Conference/11 十分な通信性能 ATMの効率化を考慮した通信の高度化が必要と勧告 Action Plan 17 洋上 陸域 空港面それぞれの領域に適切な通信システムを選定

More information

電波型式を決める規則 電波型式は アルファベット 数字 ( 例外もあります ) アルファベット の 3 文字で構成され それぞれの 文字の意味は 次の表のとおりです 第 1 文字第 2 文字第 3 文字 主搬送波の変調の型式主搬送波を変調する信号の性質伝送情報の型式 無変調 N 変調信号無し 0 無

電波型式を決める規則 電波型式は アルファベット 数字 ( 例外もあります ) アルファベット の 3 文字で構成され それぞれの 文字の意味は 次の表のとおりです 第 1 文字第 2 文字第 3 文字 主搬送波の変調の型式主搬送波を変調する信号の性質伝送情報の型式 無変調 N 変調信号無し 0 無 電波型式を決める規則 電波型式は アルファベット 数字 ( 例外もあります ) アルファベット の 3 文字で構成され それぞれの 文字の意味は 次の表のとおりです 第 1 文字第 2 文字第 3 文字 主搬送波の変調の型式主搬送波を変調する信号の性質伝送情報の型式 無変調 N 変調信号無し 0 無情報 N 振幅変調 両側波帯単側波帯 全搬送波単側波帯 低減搬送波単側波帯 抑圧搬送波 A 副搬送波を使用しないデジタル信

More information

020204.入出力制御割込解説

020204.入出力制御割込解説 入出力制御と割込解説 問 1 エチャネル制御に関する問題である チャネルは 処理装置に代わって入出力を担当するコンピュータである 汎用コンピュータでは処理装置と入出力装置の完全な平行動作を行うために 入出力専用のチャネルを設けている 処理装置から指示されたCCWからなるチャネルプログラムによって 処理装置から独立して動作する 入出力動作が完了すると入出力割込みによって制御装置に完了を通知する アのチャネルの制御は入出力の両者を制御する

More information

Microsoft PowerPoint - chapter6_2013.ppt [互換モード]

Microsoft PowerPoint - chapter6_2013.ppt [互換モード] 6. 6 データリンク層, トランスポート層における誤り制御 6 章誤り制御 電子情報工学科 3 年前期ネットワークアーキテクチャ情報科学センター / ネットワークデザイン研究センター福田豊 誤り制御 データリンク層 トランスポート層 データリンク層 HDLC イーサネット トランスポート層 TCP アプリケーション層トランスポート層インターネット層データリンク層物理層 TCP/IP protocol

More information

Microsoft PowerPoint BSD概要とサービス(送付版rev1).ppt

Microsoft PowerPoint BSD概要とサービス(送付版rev1).ppt 資料 5-3 BS デジタル放送の概要とサービス 2007 年 3 月 13 日 NHK 技術局計画部 加藤久和 BS デジタル放送の成り立ち 放送は通信と異なり 全ての国が使う権利を主張 : チャンネルプラン 容易に隣接国に電波が漏れる : 国際的な取り決めが必要 ITUの国際無線通信会議 (WRC( 旧 WARC)) でルールを決める衛星放送で使われる周波数 : 第三地域 ( アジア オセアニア

More information

Microsoft PowerPoint - 7.pptx

Microsoft PowerPoint - 7.pptx 通信路 (7 章 ) 通信路のモデル 情報 送信者 通信路 受信者 A a,, a b,, b B m = P( b ),, P( b m ) 外乱 ( 雑音 ) n = P( a,, P( a ) n ) 送信情報源 ( 送信アルファベットと生成確率 ) 受信情報源 ( 受信アルファベッと受信確率 ) でもよい 生成確率 ) 受信確率 ) m n 2 イメージ 外乱 ( 雑音 ) により記号 a

More information

aaa

aaa Information an Coing Theory, 07 by Toyoaki Nishia 情報源符号化とその限界 Copyright 07 Toyoaki Nishia All Rights Reserve. 本科目の構成 情報源 information source 情報源符号器 source encoer 通信路符号器 channel encoer 通信路 channel 通信路復号器

More information

招待論文 フルスペック 8K スーパーハイビジョン圧縮記録装置の開発 3.3 記録制御機能と記録媒体 144 Gbps の映像信号を 1/8 に圧縮した場合 18 Gbps 程度 の転送速度が要求される さらに音声データやその他のメ タデータを同時に記録すると 記録再生には 20 Gbps 程度 の転送性能が必要となる また 記録媒体は記録装置から 着脱して持ち運ぶため 不慮の落下などにも耐性のあるこ

More information

技術協会STD紹介

技術協会STD紹介 JCTEA STD-018-1.0 FTTH 型ケーブルテレビシステム 光ネットワークの性能 ( 2007 年 10 月策定 ) 第 1 章 一般事項 1 第 2 章 システムの構成 4 2.1 FTTH 型ケーブルテレビシステムの構成例 4 2.2 FTTH 型ケーブルテレビシステムの構成要素 5 第 3 章 伝送信号の種類 6 第 4 章 電気信号に係る性能規定 7 4.1 ヘッドエンドの入力端子における入力信号

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

Information Theory

Information Theory 前回の復習 情報をコンパクトに表現するための符号化方式を考える 情報源符号化における基礎的な性質 一意復号可能性 瞬時復号可能性 クラフトの不等式 2 l 1 + + 2 l M 1 ハフマン符号の構成法 (2 元符号の場合 ) D. Huffman 1 前回の練習問題 : ハフマン符号 符号木を再帰的に構成し, 符号を作る A B C D E F 確率 0.3 0.2 0.2 0.1 0.1 0.1

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

横浜市環境科学研究所

横浜市環境科学研究所 周期時系列の統計解析 単回帰分析 io 8 年 3 日 周期時系列に季節調整を行わないで単回帰分析を適用すると, 回帰係数には周期成分の影響が加わる. ここでは, 周期時系列をコサイン関数モデルで近似し単回帰分析によりモデルの回帰係数を求め, 周期成分の影響を検討した. また, その結果を気温時系列に当てはめ, 課題等について考察した. 気温時系列とコサイン関数モデル第 報の結果を利用するので, その一部を再掲する.

More information

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X (

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X ( 第 週ラプラス変換 教科書 p.34~ 目標ラプラス変換の定義と意味を理解する フーリエ変換や Z 変換と並ぶ 信号解析やシステム設計における重要なツール ラプラス変換は波動現象や電気回路など様々な分野で 微分方程式を解くために利用されてきた ラプラス変換を用いることで微分方程式は代数方程式に変換される また 工学上使われる主要な関数のラプラス変換は簡単な形の関数で表されるので これを ラプラス変換表

More information

21 1 1 1 2 2 5 7 9 11 13 13 14 18 18 20 28 28 29 31 31 34 35 35 36 37 37 38 39 40 56 66 74 89 99 - ------ ------ -------------- ---------------- 1 10 2-2 8 5 26 ( ) 15 3 4 19 62 2,000 26 26 5 3 30 1 13

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

01章-OTCID578-責了.indd

01章-OTCID578-責了.indd 1 第章 ~ ディジタル データを正確に送受信するために欠かせない技術 ~ ある場所から別の場所へ送りたいディジタル データをそのままの形で送らないで, 元のディジタル データに何らかの加工を施すことをデータの符号化といいます. 符号化したデータは特殊な規則を用いることで, 送受信の際に一部が欠落したり誤ったりしても元のデータに戻すことができます. v 1.1 通信ではディジタルの情報はアナログ量に変換される世の中の情報がディジタル化されると,

More information

4Kチューナー(録画)_TU-BUHD100

4Kチューナー(録画)_TU-BUHD100 目次 ハードディスクの接続と登録... 2 USB ハードディスクについて... 2 本機に USB ハードディスクを接続する... 2 USB ハードディスクを登録する... 3 USB ハードディスクの情報を確認する... 5 メニュー画面について... 7 番組の録画 録画予約... 8 見ている番組を録画する... 8 録画予約について... 9 番組表から録画予約する... 10 日時を指定して録画予約する

More information

周期時系列の統計解析 (3) 移動平均とフーリエ変換 nino 2017 年 12 月 18 日 移動平均は, 周期時系列における特定の周期成分の消去や不規則変動 ( ノイズ ) の低減に汎用されている統計手法である. ここでは, 周期時系列をコサイン関数で近似し, その移動平均により周期成分の振幅

周期時系列の統計解析 (3) 移動平均とフーリエ変換 nino 2017 年 12 月 18 日 移動平均は, 周期時系列における特定の周期成分の消去や不規則変動 ( ノイズ ) の低減に汎用されている統計手法である. ここでは, 周期時系列をコサイン関数で近似し, その移動平均により周期成分の振幅 周期時系列の統計解析 3 移動平均とフーリエ変換 io 07 年 月 8 日 移動平均は, 周期時系列における特定の周期成分の消去や不規則変動 ノイズ の低減に汎用されている統計手法である. ここでは, 周期時系列をコサイン関数で近似し, その移動平均により周期成分のがどのように変化するのか等について検討する. また, 気温の実測値に移動平均を適用した結果についてフーリエ変換も併用して考察する. 単純移動平均の計算式移動平均には,

More information

DVIOUT

DVIOUT 第 章 離散フーリエ変換 離散フーリエ変換 これまで 私たちは連続関数に対するフーリエ変換およびフーリエ積分 ( 逆フーリエ変換 ) について学んできました この節では フーリエ変換を離散化した離散フーリエ変換について学びましょう 自然現象 ( 音声 ) などを観測して得られる波 ( 信号値 ; 観測値 ) は 通常 電気信号による連続的な波として観測機器から出力されます しかしながら コンピュータはこの様な連続的な波を直接扱うことができないため

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 20150528 信号処理システム特論 本日の内容 適応フィルタ ( 時間領域 ) 適応アルゴリズム (LMS,NLMS,RLS) 適応フィルタの応用例 適応処理 非適応処理 : 状況によらずいつでも同じ処理 適応処理 : 状況に応じた適切な処理 高度な適応処理の例 雑音抑圧, 音響エコーキャンセラ, 騒音制御など 時間領域の適応フィルタ 誤差信号 与えられた手順に従ってフィルタ係数を更新し 自動的に所望の信号を得るフィルタ

More information

Probit , Mixed logit

Probit , Mixed logit Probit, Mixed logit 2016/5/16 スタートアップゼミ #5 B4 後藤祥孝 1 0. 目次 Probit モデルについて 1. モデル概要 2. 定式化と理解 3. 推定 Mixed logit モデルについて 4. モデル概要 5. 定式化と理解 6. 推定 2 1.Probit 概要 プロビットモデルとは. 効用関数の誤差項に多変量正規分布を仮定したもの. 誤差項には様々な要因が存在するため,

More information

ボルツマンマシンの高速化

ボルツマンマシンの高速化 1. はじめに ボルツマン学習と平均場近似 山梨大学工学部宗久研究室 G04MK016 鳥居圭太 ボルツマンマシンは学習可能な相互結合型ネットワー クの代表的なものである. ボルツマンマシンには, 学習のための統計平均を取る必要があり, 結果を求めるまでに長い時間がかかってしまうという欠点がある. そこで, 学習の高速化のために, 統計を取る2つのステップについて, 以下のことを行う. まず1つ目のステップでは,

More information

ディジタル信号処理

ディジタル信号処理 ディジタルフィルタの設計法. 逆フィルター. 直線位相 FIR フィルタの設計. 窓関数法による FIR フィルタの設計.5 時間領域での FIR フィルタの設計 3. アナログフィルタを基にしたディジタル IIR フィルタの設計法 I 4. アナログフィルタを基にしたディジタル IIR フィルタの設計法 II 5. 双 次フィルタ LI 離散時間システムの基礎式の証明 [ ] 4. ] [ ]*

More information

まま送信する電気 OSDM-PON ( 図 2 (a)) から検討を始める. つづいて, 光信号を伝送する本来の光 OSDM-PON ( 図 2 (b)) の実現性の検討を行う. 本研究では, 検討の第 1 歩として, 次の条件でシミュレーションにより検討を行う. (1) 各ユーザ速度を 1 Gbp

まま送信する電気 OSDM-PON ( 図 2 (a)) から検討を始める. つづいて, 光信号を伝送する本来の光 OSDM-PON ( 図 2 (b)) の実現性の検討を行う. 本研究では, 検討の第 1 歩として, 次の条件でシミュレーションにより検討を行う. (1) 各ユーザ速度を 1 Gbp 09-01039 超高速サービスを経済的に提供する光アクセスネットワーク構成法に関する研究 上田裕巳 東京工科大学コンピュータサイエンス学部教授 1 まえがき 現在, 光アクセスネットワークの TDM-PON (Time Division Multiplexing Passive Optical Network) を用いて, 経済的にブロードバンドサービスの提供が行われている [1]. しかし, 更に高速のサービスを提供しようとすると,TDM-PON

More information

Kumamoto University Center for Multimedia and Information Technologies Lab. 熊本大学アプリケーション実験 ~ 実環境における無線 LAN 受信電波強度を用いた位置推定手法の検討 ~ InKIAI 宮崎県美郷

Kumamoto University Center for Multimedia and Information Technologies Lab. 熊本大学アプリケーション実験 ~ 実環境における無線 LAN 受信電波強度を用いた位置推定手法の検討 ~ InKIAI 宮崎県美郷 熊本大学アプリケーション実験 ~ 実環境における無線 LAN 受信電波強度を用いた位置推定手法の検討 ~ InKIAI プロジェクト @ 宮崎県美郷町 熊本大学副島慶人川村諒 1 実験の目的 従来 信号の受信電波強度 (RSSI:RecevedSgnal StrengthIndcator) により 対象の位置を推定する手法として 無線 LAN の AP(AccessPont) から受信する信号の減衰量をもとに位置を推定する手法が多く検討されている

More information

オートマトン 形式言語及び演習 1. 有限オートマトンとは 酒井正彦 形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110,

オートマトン 形式言語及び演習 1. 有限オートマトンとは 酒井正彦   形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110, オートマトン 形式言語及び演習 1 有限オートマトンとは 酒井正彦 wwwtrscssinagoya-uacjp/~sakai/lecture/automata/ 形式言語 言語とは : 文字列の集合例 : 偶数個の 1 の後に 0 を持つ列からなる集合 {0, 110, 11110, } 形式言語 : 数学モデルに基づいて定義された言語 認識機械 : 文字列が該当言語に属するか? 文字列 機械 受理

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft PowerPoint - aep_1.ppt [互換モード]

Microsoft PowerPoint - aep_1.ppt [互換モード] 物理計測法特論 No.1 第 1 章 : 信号と雑音 本講義の主題 雑音の性質を理解することで 信号と雑音の大きさが非常に近い状態での信号の測定技術 : 微小信号計測 について学ぶ 講義の Web http://www.g-munu.t.u-tokyo.ac.jp/mio/note/sig_mes/tokuron.html 物理学の基本は実験事実の積み重ねである そして それは何かを測定することから始まる

More information

<4D F736F F F696E74202D C092425F D8A7789EF89C88A778BB38EBA816A8C6791D CC82B582AD82DD2E >

<4D F736F F F696E74202D C092425F D8A7789EF89C88A778BB38EBA816A8C6791D CC82B582AD82DD2E > 電子情報通信学会の小 中学生の科学教室 親子で学ぼう! 携帯電話の全て 仕組みから安全対策までー 2010 年 3 月 20 日 ( 土 )13 時 30 分 ~16 時, 東北大学電気通信研究所 1 号館 4 階講堂 (N408) 携帯電話のしくみ 東北大学大学院工学研究科 安達文幸 http://www.mobile.ecei.tohoku.ac.jp 1. 音波を使った会話 2. 電波を使った通信

More information

Microsoft PowerPoint - ①無線通信システム概要12

Microsoft PowerPoint - ①無線通信システム概要12 0 年前期無線通信システム 第 回無線通信システムの概要 IEEE80.a 無線 LAN を例に 荒木純道 0 年 4 月 日 講義内容 無線通信システムの概要 無線通信システム設計の概略 システム劣化要因と対策技術の概略 IEEE80.a 無線 LAN デモ 0 年 4 月 日 講義スケジュール ( 前半 ) 日付教科書内容 第 回 4 月 日 7 無線通信システムの概要

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

生命情報学

生命情報学 生命情報学 5 隠れマルコフモデル 阿久津達也 京都大学化学研究所 バイオインフォマティクスセンター 内容 配列モチーフ 最尤推定 ベイズ推定 M 推定 隠れマルコフモデル HMM Verアルゴリズム EMアルゴリズム Baum-Welchアルゴリズム 前向きアルゴリズム 後向きアルゴリズム プロファイル HMM 配列モチーフ モチーフ発見 配列モチーフ : 同じ機能を持つ遺伝子配列などに見られる共通の文字列パターン

More information

Excelによる統計分析検定_知識編_小塚明_5_9章.indd

Excelによる統計分析検定_知識編_小塚明_5_9章.indd 第7章57766 検定と推定 サンプリングによって得られた標本から, 母集団の統計的性質に対して推測を行うことを統計的推測といいます 本章では, 推測統計の根幹をなす仮説検定と推定の基本的な考え方について説明します 前章までの知識を用いて, 具体的な分析を行います 本章以降の知識は操作編での操作に直接関連していますので, 少し聞きなれない言葉ですが, 帰無仮説 有意水準 棄却域 などの意味を理解して,

More information

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 となるように半固定抵抗器を調整する ( ゼロ点調整のため ) 図 1 非反転増幅器 2010 年度版物理工学実験法

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 無線通信システム研究会 / 情報理論研究会 / 信号処理研究会 Polar 符号の移動通信システムへの適用と 5G 標準化動向 三木信彦 ( 香川大学 ) 永田聡 (NTT ドコモ ) 27..9 はじめに 本発表では, 近年着目されている Polar 符号について移動通信の適用と 5G 標準化動向について概説 通信路分極 符号化 復号法 他のチャネル符号化との比較 Turbo 符号 (LDPC 符号

More information

RSS Higher Certificate in Statistics, Specimen A Module 3: Basic Statistical Methods Solutions Question 1 (i) 帰無仮説 : 200C と 250C において鉄鋼の破壊応力の母平均には違いはな

RSS Higher Certificate in Statistics, Specimen A Module 3: Basic Statistical Methods Solutions Question 1 (i) 帰無仮説 : 200C と 250C において鉄鋼の破壊応力の母平均には違いはな RSS Higher Certiicate in Statistics, Specimen A Module 3: Basic Statistical Methods Solutions Question (i) 帰無仮説 : 00C と 50C において鉄鋼の破壊応力の母平均には違いはない. 対立仮説 : 破壊応力の母平均には違いがあり, 50C の方ときの方が大きい. n 8, n 7, x 59.6,

More information

IS-QZSS サブメータ級測位補強サービス / 災害 危機管理通報サービス編 (IS-QZSS-L1S-001) の構成 Page 1 Quasi-Zenith Satellite System Services Inc. 2015

IS-QZSS サブメータ級測位補強サービス / 災害 危機管理通報サービス編 (IS-QZSS-L1S-001) の構成 Page 1 Quasi-Zenith Satellite System Services Inc. 2015 準天頂衛星システムユーザインタフェース仕様書サブメータ級測位補強サービス / 災害 危機管理通報サービス編 について < 共通 > 2015 年 07 月 16 日準天頂衛星システムサービス株式会社 Quasi-Zenith Satellite System Services Inc. 2015 IS-QZSS サブメータ級測位補強サービス / 災害 危機管理通報サービス編 (IS-QZSS-L1S-001)

More information

次に示す数値の並びを昇順にソートするものとする このソートでは配列の末尾側から操作を行っていく まず 末尾の数値 9 と 8 に着目する 昇順にソートするので この値を交換すると以下の数値の並びになる 次に末尾側から 2 番目と 3 番目の 1

次に示す数値の並びを昇順にソートするものとする このソートでは配列の末尾側から操作を行っていく まず 末尾の数値 9 と 8 に着目する 昇順にソートするので この値を交換すると以下の数値の並びになる 次に末尾側から 2 番目と 3 番目の 1 4. ソート ( 教科書 p.205-p.273) 整列すなわちソートは アプリケーションを作成する際には良く使われる基本的な操作であり 今までに数多くのソートのアルゴリズムが考えられてきた 今回はこれらソートのアルゴリズムについて学習していく ソートとはソートとは与えられたデータの集合をキーとなる項目の値の大小関係に基づき 一定の順序で並べ替える操作である ソートには図 1 に示すように キーの値の小さいデータを先頭に並べる

More information

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック (2009/10/28) 増設メモリ 1. 機能 型名 N8102-356 N8102-357 N8102-358 N8102-359 N8102-360 8GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック 533MHz( 差動 ) 1.5V 型名 N8102-351 N8102-352

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102 (2009/12/08) 増設メモリ 1. 機能 型名 N8102-339 N8102-340 N8102-341 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC3-10600) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB

More information

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は 汎用 CAD に対する電気設計専用 CAD の優位性 株式会社ワコムソフトウェア営業本部ソフトウェア営業部 1. はじめに弊社は 1984 年に電気設計専用 CAD システムを発売以来 日本のものづくりを担うお客様とともに成長し 電気制御設計の現場で 要求レベルの高いお客様ニーズに応えるために改良に改良を重ね 卓越した製品力を誇るまでに至った しかしながら 電気設計の用途でも汎用 CAD を利用されている企業は多く存在している

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

Microsoft PowerPoint - 第3回2.ppt

Microsoft PowerPoint - 第3回2.ppt 講義内容 講義内容 次元ベクトル 関数の直交性フーリエ級数 次元代表的な対の諸性質コンボリューション たたみこみ積分 サンプリング定理 次元離散 次元空間周波数の概念 次元代表的な 次元対 次元離散 次元ベクトル 関数の直交性フーリエ級数 次元代表的な対の諸性質コンボリューション たたみこみ積分 サンプリング定理 次元離散 次元空間周波数の概念 次元代表的な 次元対 次元離散 ベクトルの直交性 3

More information

4 段階推定法とは 予測に使うモデルの紹介 4 段階推定法の課題 2

4 段階推定法とは 予測に使うモデルの紹介 4 段階推定法の課題 2 4 段階推定法 羽藤研 4 芝原貴史 1 4 段階推定法とは 予測に使うモデルの紹介 4 段階推定法の課題 2 4 段階推定法とは 交通需要予測の実用的な予測手法 1950 年代のアメリカで開発 シカゴで高速道路の需要予測に利用 日本では 1967 年の広島都市圏での適用が初 その後 1968 年の東京都市圏など 人口 30 万人以上の 56 都市圏に適用 3 ゾーニング ゾーニングとネットワークゾーン間のトリップはゾーン内の中心点

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

航空機の運動方程式

航空機の運動方程式 オブザーバ 状態フィードバックにはすべての状態変数の値が必要であった. しかしながら, システムの外部から観測できるのは出力だけであり, すべての状態変数が観測できるとは限らない. そこで, 制御対象システムの状態変数を, システムのモデルに基づいてその入出力信号から推定する方法を考える.. オブザーバとは 次元 m 入力 r 出力線形時不変システム x Ax Bu y Cx () の状態変数ベクトル

More information

Microsoft PowerPoint - H22制御工学I-10回.ppt

Microsoft PowerPoint - H22制御工学I-10回.ppt 制御工学 I 第 回 安定性 ラウス, フルビッツの安定判別 平成 年 6 月 日 /6/ 授業の予定 制御工学概論 ( 回 ) 制御技術は現在様々な工学分野において重要な基本技術となっている 工学における制御工学の位置づけと歴史について説明する さらに 制御システムの基本構成と種類を紹介する ラプラス変換 ( 回 ) 制御工学 特に古典制御ではラプラス変換が重要な役割を果たしている ラプラス変換と逆ラプラス変換の定義を紹介し

More information

Microsoft PowerPoint - ip02_01.ppt [互換モード]

Microsoft PowerPoint - ip02_01.ppt [互換モード] 空間周波数 周波数領域での処理 空間周波数 (spatial frquncy) とは 単位長さ当たりの正弦波状の濃淡変化の繰り返し回数を表したもの 正弦波 : y sin( t) 周期 : 周波数 : T f / T 角周波数 : f 画像処理 空間周波数 周波数領域での処理 波形が違うと 周波数も違う 画像処理 空間周波数 周波数領域での処理 画像処理 3 周波数領域での処理 周波数は一つしかない?-

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3 (2010/01/22) 増設メモリ 1. 機能 型名 N8102-361 N8102-362 N8102-363 N8102-364 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC3-10600) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-365 N8102-366 N8102-367 (x1 枚 ) (x1 枚 )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 復習 ) 時系列のモデリング ~a. 離散時間モデル ~ y k + a 1 z 1 y k + + a na z n ay k = b 0 u k + b 1 z 1 u k + + b nb z n bu k y k = G z 1 u k = B(z 1 ) A(z 1 u k ) ARMA モデル A z 1 B z 1 = 1 + a 1 z 1 + + a na z n a = b 0

More information

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E >

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E > 多重伝送と多重アクセス コミュニケーション工学 A 第 4 章 多重伝送と多重アクセス 多重伝送周波数分割多重 (FDM) 時分割多重 (DM) 符号分割多重 (CDM) 多重アクセス 多重伝送 地点から他の地点へ複数チャネルの信号を伝送するときに, チャネル毎に異なる通信路を用いることは不経済である. そこでつの通信路を用いて複数チャネルの信号を伝送するのが多重伝送である. 多重伝送の概念図 チャネル

More information

DVIOUT

DVIOUT 最適レギュレータ 松尾研究室資料 第 最適レギュレータ 節時不変型無限時間最適レギュレータ 状態フィードバックの可能な場合の無限時間問題における最適レギュレータについて確定系について説明する. ここで, レギュレータとは状態量をゼロにするようなコントローラのことである. なぜ, 無限時間問題のみを述べるかという理由は以下のとおりである. 有限時間の最適レギュレータ問題の場合の最適フィードバックゲインは微分方程式の解から構成される時間関数として表現される.

More information

基礎統計

基礎統計 基礎統計 第 11 回講義資料 6.4.2 標本平均の差の標本分布 母平均の差 標本平均の差をみれば良い ただし, 母分散に依存するため場合分けをする 1 2 3 分散が既知分散が未知であるが等しい分散が未知であり等しいとは限らない 1 母分散が既知のとき が既知 標準化変量 2 母分散が未知であり, 等しいとき 分散が未知であるが, 等しいということは分かっているとき 標準化変量 自由度 の t

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

150MHz 帯デジタルデータ通信設備のキャリアセンスの技術的条件 ( 案 ) 資料 - 作 4-4

150MHz 帯デジタルデータ通信設備のキャリアセンスの技術的条件 ( 案 ) 資料 - 作 4-4 150MHz 帯デジタルデータ通信設備のキャリアセンスの技術的条件 ( 案 ) 資料 - 作 4-4 150MHz 帯デジタルデータ通信設備のキャリアセンス 1 1 キャリアセンスの技術的条件の検討 米国の海上無線技術委員会 ( 以下 RTCM:The Radio Technical Commission For Maritime Services) より 2009 年 7 月に ITU-R 勧告

More information

Title < 大学の研究 動向 > 衛星通信アクセス系における大容量データ伝送実験 Author(s) 森広, 芳照 ; 田野, 哲 ; 梅原, 大祐 Citation Cue : 京都大学電気関係教室技術情報誌 (2004), 14: 2 Issue Date 2004-12 URL https://doi.org/10.14989/57883 Right Type Departmental Bulletin

More information

0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生

0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生 0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生まれ, コンピューテーショナルフォトグラフィ ( 計算フォトグラフィ ) と呼ばれている.3 次元画像認識技術の計算フォトグラフィへの応用として,

More information

Microsoft PowerPoint - mp11-06.pptx

Microsoft PowerPoint - mp11-06.pptx 数理計画法第 6 回 塩浦昭義情報科学研究科准教授 shioura@dais.is.tohoku.ac.jp http://www.dais.is.tohoku.ac.jp/~shioura/teaching 第 5 章組合せ計画 5.2 分枝限定法 組合せ計画問題 組合せ計画問題とは : 有限個の もの の組合せの中から, 目的関数を最小または最大にする組合せを見つける問題 例 1: 整数計画問題全般

More information

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt 応用電力変換工学舟木剛 第 5 回本日のテーマ交流 - 直流変換半端整流回路 平成 6 年 月 7 日 整流器 (cfr) とは 交流を直流に変換する 半波整流器は 交直変換半波整流回路 小電力用途 入力電源側の平均電流が零にならない あんまり使われていない 全波整流回路の基本回路 変圧器が直流偏磁しやすい 変圧器の負荷電流に直流分を含むと その直流分により 鉄心が一方向に磁化する これにより 鉄心の磁束密度の増大

More information

eq2:=m[g]*diff(x[g](t),t$2)=-s*sin(th eq3:=m[g]*diff(z[g](t),t$2)=m[g]*g-s* 負荷の座標は 以下の通りです eq4:=x[g](t)=x[k](t)+r*sin(theta(t)) eq5:=z[g](t)=r*cos(the

eq2:=m[g]*diff(x[g](t),t$2)=-s*sin(th eq3:=m[g]*diff(z[g](t),t$2)=m[g]*g-s* 負荷の座標は 以下の通りです eq4:=x[g](t)=x[k](t)+r*sin(theta(t)) eq5:=z[g](t)=r*cos(the 7. 制御設計の例 7.1 ローディングブリッジの制御装置 はじめに restart: ローディング ブリッジは 負荷をある地点から別の地点に運びます 台車の加速と減速は好ましくない振動を発生してしまいます そのため負荷はさらに安定し難くなり 時間もかかってしまいます 負荷がある地点から他の地点へ素早く移動し すみやかに安定するような制御装置を設計します 問題の定義 ローディング ブリッジのパラメータは以下の通りです

More information

調和系工学 ゲーム理論編

調和系工学 ゲーム理論編 ゲーム理論第三部 知的都市基盤工学 5 月 30 日 ( 水 5 限 (6:30~8:0 再掲 : 囚人のジレンマ 囚人のジレンマの利得行列 協調 (Cooperte:C プレイヤー 裏切 (Deect:D ( 協調 = 黙秘 裏切 = 自白 プレイヤー C 3,3 4, D,4, 右がプレイヤー の利得左がプレイヤー の利得 ナッシュ均衡点 プレイヤーの合理的な意思決定の結果 (C,C はナッシュ均衡ではない

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

講義「○○○○」

講義「○○○○」 講義 システムの信頼性 内容. 直列システムの信頼性. 並列システムの信頼性 3. 直列 並列の複合システムの信頼性 4. 信頼性向上のための手法 担当 : 倉敷哲生 ビジネスエンジニアリング専攻 システムの構成 種々の機械や構造物, システムを分割していけば. 個々の要素 サブシステム となる. サブシステムの組み合わせ方式 直列系 並列系 m/ 冗長系 待機冗長系 3 直列システムの信頼性 直列系

More information

☆ソフトウェア特許判例紹介☆ -第31号-

☆ソフトウェア特許判例紹介☆ -第31号- ソフトウェア関連発明特許に係る判例紹介 ~ 裁判例 ~ 平成 28 年 ( ワ ) 第 38565 号原告 : 株式会社ドワンゴ被告 :FC2, INC. 外 2019 年 1 月 22 日 執筆者弁理士田中伸次 1. 概要本件は, いずれも名称を 表示装置, コメント表示方法, 及びプログラム とする特許第 4734471 号及び特許第 4695583 号の特許権を有する原告が, 被告らが行っているサービスに用いられている動画を表示する情報処理端末に配信されるコメント表示プログラム,

More information

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8 (2011/06/17) 増設メモリ 1. 機能仕様 型番 製品名 備考 N8102-342 1GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-343 2GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-344 4GB 増設メモリボード DDR3-1333(PC3-10600)

More information

< F2D E682518FCD825290DF D A97B98F4390B396B32E6A7464>

< F2D E682518FCD825290DF D A97B98F4390B396B32E6A7464> 第三節 明細書の作成方法 1. 明細書は次の様式により作成します 特施規様式第 29( 第 24 条関係 ) 書類名 明細書 発明の名称 技術分野 0001 ( 段落ごとに 段落番号を付す ) ( 背景技術 ) 0002 ( 先行技術文献 ) ( 特許文献 ) 0003 ( 非特許文献 ) 0004 発明の概要 発明が解決しようとする課題 0005 課題を解決するための手段 0006 ( 発明の効果

More information

スペクトルに対応する英語はスペクトラム(spectrum)です

スペクトルに対応する英語はスペクトラム(spectrum)です 7. ハミング窓とフラットトップ窓の等価ノイズ帯域幅 (ENBW) (1) Hamming 窓 Hamming 窓は次式で表されます MaTX にも関数が用意されています win = 0.54-0.46*cos(2*PI*[k/(N-1)); ただし k=0,1,---,n-1 N=256; K=[0:N-1]; w=0.54-0.46*cos(2*pi*k/(n-1)); mgplot_reset(1);

More information

Microsoft PowerPoint - sakurada3.pptx

Microsoft PowerPoint - sakurada3.pptx チュートリアル :ProVerif による結合可能安全性の形式検証 櫻田英樹日本電信電話株式会社 NTT コミュニケーション科学基礎研究所 アウトライン 前半 :ProVerif の紹介 後半 :ProVerifを用いた結合可能安全性証明 [Dahl Damgård, EuroCrypt2014, eprint2013/296] の記号検証パート 2 ProVerif フランス国立情報学自動制御研究所

More information

Microsoft Word - 第2章 ブロック線図.doc

Microsoft Word - 第2章 ブロック線図.doc NAOSIE: Nagaaki Univriy' Ac il ディジタル制御システム Auhor() 辻, 峰男 Ciaion ディジタル制御システム ; 06 Iu Da 06 URL hp://hdl.handl.n/0069/3686 Righ hi documn i downloadd hp://naoi.lb.nagaaki-u.ac.jp 第 章ブロック線図. インパルス列を用いた z

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

振動学特論火曜 1 限 TA332J 藤井康介 6 章スペクトルの平滑化 スペクトルの平滑化とはギザギザした地震波のフーリエ スペクトルやパワ スペクトルでは正確にスペクトルの山がどこにあるかはよく分からない このようなスペクトルから不純なものを取り去って 本当の性質を浮き彫

振動学特論火曜 1 限 TA332J 藤井康介 6 章スペクトルの平滑化 スペクトルの平滑化とはギザギザした地震波のフーリエ スペクトルやパワ スペクトルでは正確にスペクトルの山がどこにあるかはよく分からない このようなスペクトルから不純なものを取り去って 本当の性質を浮き彫 6 章スペクトルの平滑化 スペクトルの平滑化とはギザギザした地震波のフーリエ スペクトルやパワ スペクトルでは正確にスペクトルの山がどこにあるかはよく分からない このようなスペクトルから不純なものを取り去って 本当の性質を浮き彫りにするために スペクトルを滑らかにする操作のことをいう 6.1 合積のフーリエ変換スペクトルの平滑化を行う際に必要な 合積とそのフーリエ変換について説明する 6.2 データ

More information