富士通セミコンダクター株式会社発表資料

Size: px
Start display at page:

Download "富士通セミコンダクター株式会社発表資料"

Transcription

1 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED

2 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED

3 CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel Cache FPU MPU JTAG 400MIPS μitron Instruction Cache Data Cache 200MIPS ARM Cortex--M3 Cortex FR80S FR60/70 FR60Lite ARM FR80E REALOSシリーズ REALOS シリーズ 100MIPS Multi core Instruction Instruction Cache FPU MPU OCD μt-realos REALOSシリーズ シリーズ 10MIPS F2MC-16LX MC 16LX - F2MC-8FX F2MC-8FX LPC Copyright 2010 FUJITSU SEMICONDUCTOR LIMITED

4 各グループコンセプト Perfo ormance LCD LCD Ether 48pin 64pin 80pin 100pin 120pin 144pin 176pin High Perfomance Group 1 高速 :144MHz/80MHz 2 Ether-MAC,CAN,USB 通信機能強化 Basic Group 1 中速 (80MHz/40MHz) インハ ータ制御 2USB/CAN 搭載 Low Power Group 1 低消費電力化 2 LCDC,USB,HDMI-CEC 搭載 Ultra Low Leak Group 1 待機時低消費電力化 2 LCDC 搭載 3 Copyright 2012 FUJITSU SEMICONDUCTOR LIMITED

5 製品ラインナップ 144M MHz High Performance Line High Performance Group High speed A/D High speed A/D High speed A/D Ether High speed A/D Ether 2.7~5.5V 80 MHz Basic Group High Speed A/D High Speed A/D High Speed A/D High Speed A/D High Speed A/D 1.65~3.6V 40M MHz Low Power Line Low Power Group LCD + HDMI LCD + HDMI LCD + HDMI 量産中開発中計画中 1.8 8~5.5V 20 0MHz Ultra Low Leak Group 32pin 48pin LCD LCD LCD 量産中開発中計画中 64pin 80pin 100pin 120pin 144pin 176pin

6 製品ロードマップ 20 00MHz 2.7~5.5V 80MHz 14 44MHz High Performance Group 0.18um low power Ether +Encryption 144/176pin 100/120pin 64/80/100pin 48pin FM4 (FPU+ DSP) Ether 120/144/176pin FM4 (FPU+ DSP) CAN FM4 (FPU+ DSP) CAN TFT Controller or Touch MCU 2+TSC 100/120pin 48/64/80pin Basic Group 48/64/80pin 120/144/176/pin +ROM512KB 1.65~3..6V 40MHz 100/120pin 80/100pin Low Power Group 64/80/100pin Ultra Low Leak Group LCD 48pin 64/80/100pin +ROM512KB 80/100/120pin Low end 32pin Metering LCD +16/24bit ΣADC 80/100pin FM4 (FPU+ DSP) Camera/Audio 176/240pin Medical +TFT +16bit ADC+DAC High speed serial 64/80/100pin 90nm standard 90nm low power 1.8 8~5.5V 20MHz FY10/2H LCD +DAC 48/64pin 64/80/100pin 48/64pin 0.18um ultra low leak FY11/1H FY11/2H FY12/1H FY12/2H FY13/1H FY13/2H Copyright 2012 FUJITSU SEMICONDUCTOR LIMITED.

7 安心 安全への取り組み安全 2つの柱で安全 安心に取り組みます安心に取り組みます 機能安全 IEC61508/ISO26262/IEC60730などの規格をサポート 高レジリエンス止まらないマイコンを実現 6 Copyright 2012 FUJITSU LIMITED

8 機能安全 (Functional Safety) への取り組み 1st Generation 2 nd Generation 3 rd Generation SIL2レベルの対応 ( 実績のある STL) SIL3 レベルの デバイス認定の取得 1 st 機能安全 CSV( クロック監視 ) HWD( システム監視 ) CRC( 通信監視 ) I/Oモニター機能 2 nd 機能安全 1 st 機能安全に加えて CPUモニター機能バスモニター機能セルフテストライブラリ (STL) (CPU& バス関連 ) セルフテストライブラリ (STL) 3 rd 機能安全 Cortex-R5 デュアルコアロックステップ (= 自己診断機能 ) year 7 Copyright 2012 FUJITSU SEMICONDUCTOR LIMITED

9 IEC61508 SIL3 への対応 現デバイスによる対応 FM3 マイコンと STL のデコンポジションによって対応可能 (1) サブマイコンによる相互監視 CPU コアの対称性を確保可能 SIL3 対応のオプション化可能 他方式に比べ低消費電力 (2) 同じ CPU による相互監視 CPU コアの対称性を確保可能 制御の継続性の確保が可能 相互監視マイコンのプログラム共通化が可能 メイン サブ メイン メイン CM3 +SIL2 STL 相互監視 CM3 +SIL2 STL オプション化可能 CM3 +SIL2 STL 相互監視 CM3 +SIL2 STL 今後の予定 デュアルコアおよびデュアルコアロックステップによって対応する予定 (3) デュアルコア (4) デュアルコアロックステップ 1 チップ内で相互監視が可能 ISO26262 ASIL C 相当 デュアルコアより高い安全性を確保可能 ISO26262 ASIL D 相当 メイン メイン CR5( コア ) CR5( コア ) CR5 相互監視 CR5 ロックステップ機構 FROM RAM 周辺 8 Copyright 2012 FUJITSU SEMICONDUCTOR LIMITED

10 止まらないマイコン ノイズなどで CPUが暴走しても 復帰する機能 高レジリエンス技術 /FUJIMI を採用 ソフトウェア動作図 ノイズ アプリ 正常動作 暴走 CPU Flash Memory SRAM 高レジリエンスドライバソフト 割り込みリセット 定期割り込み + リセット リセット回路 通常リセット Bus Parallel I/O Serial I/O Timer 定期的に割り込み + リセット 正常動作の保存 暴走していないことを確認 保存された正常動作の復帰暴走を検出 一つ前に保存された 正常動作を復帰 時間 高レジリエンス技術 /FUJIMI : 株式会社エルイーテック社の技術レジリエンス (resilience) 名詞 復元力 回復力

11 高レジリエンス技術のターゲットアプリ ノイズ環境下での使用を前提とした FA 機器 高信頼性が要求されるメンテナンスフリーな使用を前提とする各種計測センサー機器やセンサーネットワーク 検針 気象観測 自販機 電力メータ計 料金計 監視カメラ 防災機器 医療機器 富士通セミコンダクターでは ターゲットアプリ向タ けの製品には 搭載していく方針

12 11 Copyright 2010 FUJITSU LIMITED

STM32 ファミリ : Arm® Cortex®-Mコア 32bitマイクロコントローラ

STM32 ファミリ : Arm® Cortex®-Mコア 32bitマイクロコントローラ TM Arm Cortex -M 32bit Releasing your creativity ST Arm Cortex -MST Arm Cortex -M M0 M0+ M3 M4 M7 TM IP F7 H7: DSP FPU Cortex -M7 / 2020 CoreMark F7: DFP FPU Cortex -M7 / 256KB ~ 1082 CoreMark F4: DSP

More information

Slide 1

Slide 1 MSP430 : 革新的な新技術 この資料は 次世代マイコン活用セミナー (2012) から FRAM 部分を抜粋したものです Ferroelectric RAM (FRAM) 1 FRAM 次世代 MCU メモリ 不揮発性 電源が切れてもデータを保持 EEPROM の代替え品として利用可能 高速書き込み / アップデート SRAM のようなパフォーマンスアクセスタイム : ~ 50ns/ バイト

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

STM32F0シリーズ : 32bitメインストリーム・マイコン Releasing your creativity

STM32F0シリーズ : 32bitメインストリーム・マイコン Releasing your creativity STM32F0 32bit Releasing your creativity STM32F0...3 1040... 3 STM32F0...4 STM32F0... 4 STM32F0x0...5 STM32F0... 5 STM32F030... 5 STM32F0x1...6 STM32F0x1... 6 STM32F091... 6 STM32F0x2...7 STM32F0x2... 7

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

2015_collabo_05

2015_collabo_05 ARM コア搭載東芝汎用マイコンコラボセミナー 2015 Live 組込 RTOS クッキング! 2015 年 2 月 6 日 ( 金 ) セールス FAE 野田周作 浦邉康雄 1 会社紹介 イー フォース株式会社 本社東京都中央区日本橋富沢町 5-4 資本金 従業員 1800 万円 12 名 業務内容 RTOS 及び TCP/IP スタック製品の開発と販売 - μitron 仕様準拠 RTOS :μc3(

More information

車載マイコンの動向

車載マイコンの動向 車載マイコンの現状について ~2008 年度サーベイ ~ 2008 年 11 月 28 日 ( 財 ) 九州先端科学技術研究所 車のエレクトロニクス化 トヨタ自動車 (1996 - *1936) 燃費 : 7 km/l トヨタ自動車 (Prius HEV 2006) 燃費 :35.5 km/l センサー : 無 ECUs: 無 センサー : 約 100 ECUs: 約 70 ECU: Electronic

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

RXファミリ搭載マイコン評価ボード

RXファミリ搭載マイコン評価ボード RX ファミリ搭載マイコン評価ボード一覧 1 200 シリーズ (210, 21A, 220) RX210/ RX220 64pin HSBRX210/220-100B (RX210/220-) RX210/ RX220 64pin HSBRX210/220-64B (RX210/220-64pin) RX21A アナログ信号源サーミスタ アナログ切断検出模擬回路 アナログ信号源サーミスタ アナログ切断検出模擬回路

More information

日本テキサス・インスツルメンツ株式会社発表資料

日本テキサス・インスツルメンツ株式会社発表資料 マイコンでつながる 世 界 センサネットワークから 近 距 離 無 線 まで TIの 幅 広 い 組 込 みプロセッサ ポートフォリオ TI 組 込 みプロセッサ 群 マイコン (MCU) プロセッサ (MPU) ARM 搭 載 製 品 ソフトウェア ツール & 開 発 キット 16 ビット 32 ビット 32 ビット 32 ビット 32 ビット DSP 超 低 消 費 電 力 ハイスピード ARM

More information

Freescale PowerPoint Template

Freescale PowerPoint Template 機能安全対応 マイコン / アナログ製品 CAR-ELE JAPAN @Tokyo Big Sight J a n u a r y 2 0 1 4 External Use Functional Safety. Simplified. 車載対応機能安全向け基準を鑑み設計された製品により車載システムの機能安全認証取得をアシスト ISO 26262/IEC 61508 といった機能安全規格に準拠するシステムを開発する期間

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 本クロスカットの目的と活動内容 低電力 SoCのロードマップ作成と問題点 技術課題の明確化 (1) モバイルマルチメディアの動向調査 (2) 現状 (0.18um) の低電力 SoCの分析 (3) 低電力 SoC 設計モデルの作成 ( 初期モデル

More information

2015_collabo_07

2015_collabo_07 東芝セミコンダクター & ストレージ社製 TX シリーズマイコン用機能安全ソフトウェアライブラリのご紹介 2015.2.6 イーソル株式会社新規事業推進室マネージャ巣山浩生 イーソルの機能安全への取り組み 2 機能安全 ソリューションのアウトライン 3 機能安全環境整備 支援 機能安全対応 SW 開発 機能安全対応のサイクル 開発プロセス構築 開発環境整備 規格準拠の開発 規格準拠の部品調達 教育

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

PRIMERGYの遠隔管理 リモートマネジメントご紹介

PRIMERGYの遠隔管理 リモートマネジメントご紹介 PRIMERGY の遠隔管理リモートマネジメントご紹介 -01 版 - 2009 年 12 月富士通株式会社 はじめに 本資料の概要 本資料は 弊社 PC サーバ PRIMERGY に標準搭載 ( 注 ) されているリモート管理 リモートマネジメントコントローラ (irmc S2) の概要です リモートマネジメントコントローラ (irmc S2) により 管理者が近くにいないサーバの管理にかかるコストを削減し

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

12 PowerEdge PowerEdge Xeon E PowerEdge 11 PowerEdge DIMM Xeon E PowerEdge DIMM DIMM 756GB 12 PowerEdge Xeon E5-

12 PowerEdge PowerEdge Xeon E PowerEdge 11 PowerEdge DIMM Xeon E PowerEdge DIMM DIMM 756GB 12 PowerEdge Xeon E5- 12ways-12th Generation PowerEdge Servers improve your IT experience 12 PowerEdge 12 1 6 2 GPU 8 4 PERC RAID I/O Cachecade I/O 5 Dell Express Flash PCIe SSD 6 7 OS 8 85.5% 9 Dell OpenManage PowerCenter

More information

SafeG 高信頼組込みシステム向けデュアル OS モニタ Daniel Sangorrín, 本田晋也, 高田広章 名古屋大学 2010 年 12 月 3 日 この研究の一部は文部科学省のサポート受けて実施しています Daniel Sangorrín ( 名古屋大学 ) ET 横浜 2

SafeG 高信頼組込みシステム向けデュアル OS モニタ Daniel Sangorrín, 本田晋也, 高田広章 名古屋大学 2010 年 12 月 3 日 この研究の一部は文部科学省のサポート受けて実施しています Daniel Sangorrín ( 名古屋大学 ) ET 横浜 2 SafeG 高信頼組込みシステム向けデュアル OS モニタ Daniel Sangorrín, 本田晋也, 高田広章 名古屋大学 2010 年 12 月 3 日 この研究の一部は文部科学省のサポート受けて実施しています Daniel Sangorrín ( 名古屋大学 ) ET2010 - 横浜 2010 年 12 月 3 日 1 / 25 目次 1 Introduction 2 SafeG 3 Evaluation

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

Embedded Security Product Guide

Embedded Security Product Guide 2 nd Edition EMBEDDED SECURITY Product Guide TFT 3 PCI PTS3.1 4 PINSoC 5 6 7 DeepCover Maxim Integrated DeepCover Maxim DeepCover 3 DeepCover DeepCover ICIP DeepCover / DeepCover 2 Maxim Integrated DeepCover

More information

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャ プロセッサロードマップ 2000 年第 4 四半期 2001 年上半期 サーバ / インテル Pentium III インテル Itanium ワークステーション Xeon プロセッサプロセッサ パフォーマンスインテル

More information

デジタルカメラ用ISP:Milbeaut

デジタルカメラ用ISP:Milbeaut ISP Milbeaut Image Signal Processor: Milbeaut あらまし MilbeautISP Image Signal Processor 20 Mpixel Milbeaut6 MB91696AM MB91696AM Abstract Milbeaut is an image signal processor (ISP) that realizes a digital

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

SH2A-DUALコア搭載製品SH7265のご紹介

SH2A-DUALコア搭載製品SH7265のご紹介 SH2A-DUAL コア搭載製品 SH7265 のご紹介 システムソリューション統括本部システムソリューション製品技術部 1 ルネサスのマルチコア化取組み (1) 業界動向 ニーズ : 機器のさらなる性能向上 高機能化 対応策 : 微細化による大規模集積化 高速化 消費電力問題 解の 1 つとして マルチコア化の流れ (2) ルネサスのマルチコア化取組み状況適用分野別のマルチコア製品を開発中 1 マルチメディア処理向けマイクロプロセッサ

More information

MINI2440マニュアル

MINI2440マニュアル Cortex-M3/LPC1343 USB マイコンボード マニュアル 株式会社日新テクニカ http://www.nissin-tech.com info@nissin-tech.com 2010/2/1 copyright@2010 新バージョン 1 第一章 Cortex-M3/LPC1343 USBマイコンボードの概要...3 第二章 USBブートローダー...4 第三章 RS232 で書き込み...7

More information

untitled

untitled 1 All Rights Reserved Copyright 2007 FUJITSU LIMITED 2 All Rights Reserved Copyright 2007 FUJITSU LIMITED 3 All Rights Reserved Copyright 2007 FUJITSU LIMITED 4 All Rights Reserved Copyright 2007 FUJITSU

More information

Application Note

Application Note Cypress ( ) FR Family 32-BIT MICROCONTROLLER MB91F313series HDMI-CEC Copyright 2008 FUJITSU MICROELECTRONICS LIMITED all rights reserved 1 2 ...1...2...3 1...4 2 MB91F313...5 3 MB91F313 HDMI-CEC...6 3.1

More information

FUJITSU Software Systemwalker Centric Manager Lite Edition V13.5 機能紹介資料

FUJITSU Software Systemwalker Centric Manager Lite Edition V13.5 機能紹介資料 FUJITSU Software Systemwalker Centric Manager Lite Edition V13.5 機能ご紹介 2014 年 3 月富士通株式会社 目次 特長 機能 システム構成 プラットフォーム 各エディションの機能比較表 < ご参考 > Systemwalker Centric Manager Lite Edition は 被管理サーバの数が数台 ~30 サーバ以内の規模で

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 1 8 1 3 1 9 2 10 2 3 1 11 2 12 2 3 1 13 14 2 2 3 1 15 2 1 2 3 4 5 16 2 6 7 8 3 1 1 2 17 2 18 2 3 1 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

第122号.indd

第122号.indd -1- -2- -3- 0852-36-5150 0852-36-5163-4- -5- -6- -7- 1st 1-1 1-2 1-3 1-4 1-5 -8- 2nd M2 E2 D2 J2 C2-9- 3rd M3 E3 D3 J3 C3-10- 4th M4 E4 D4 J4 C4-11- -12- M5 E5 J5 D5 C5 5th -13- -14- NEWS NEWS -15- NEWS

More information

S1C60N05データシート

S1C60N05データシート PF19-2 Micro MN 4-bit Single Chip Microcomputer µ µ 1 SC2 SC1 RESET RM 1,56 words x 12 bits SC System Reset Control Core CPU S1C6B RAM 8 words x 4 bits nterrupt Generator CM~ SEG~19 LCD Driver nput Port

More information

「諸雑公文書」整理の中間報告

「諸雑公文書」整理の中間報告 30 10 3 from to 10 from to ( ) ( ) 20 20 20 20 20 35 8 39 11 41 10 41 9 41 7 43 13 41 11 42 7 42 11 41 7 42 10 4 4 8 4 30 10 ( ) ( ) 17 23 5 11 5 8 8 11 11 13 14 15 16 17 121 767 1,225 2.9 18.7 29.8 3.9

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

h1-4_cs5.5.indd

h1-4_cs5.5.indd SIMATIC HMI SIMATIC HMI SIMATIC HMI Comfort Panel All-in-One SIMATIC Comfort Panel all-in-one 4 22 1677 0 100% IP65 CEULKCRCMATEX HMI VB HMI ATEX 4 12 SIMATIC HMI Basic Panel 2 nd Generation SIMATIC Basic

More information

1 2

1 2 1 1 2 3 1 2 3 4 5 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 3 1 8 1 3 1 9 2 10 2 3 1 11 2 12 13 3 1 2 2 14 2 3 1 15 2 16 2 3 1 17 2 18 2 3 1 19 3 20 3 3 1 21 3 22 3 3 1 23 3 24 3 3 1 25 3 26 3 3 1 27 3 28 3 3 1 29

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

技術が生み出す魔法!最新ハードウェアとチューニングで激速データベース

技術が生み出す魔法!最新ハードウェアとチューニングで激速データベース 技術が生み出す魔法! 最新ハードウェアとチューニングで 激速データベース 2015 年 9 月 11 日富士通株式会社プラットフォーム技術本部プロダクトソリューション技術統括部志賀真之 DB を高速化するポイント CPU は活用できているか メモリを増やして早くする ストレージで性能改善する 1 CPU は活用できているか 2 CPU 技術動向 CPU は クロックは向上せずにコア数が増える傾向 Ghz

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

S1C17W12 S1C17W13 (WDT2) (RTCA) 16 (T16) 16PWM (T16B) SQFN7-48pin TQFP12-48pin SQFN7-48pin NMI, NMI/ Hz, / / / // / 1, 3 SPIA 2 / PWM PWM : 2/ (

S1C17W12 S1C17W13 (WDT2) (RTCA) 16 (T16) 16PWM (T16B) SQFN7-48pin TQFP12-48pin SQFN7-48pin NMI, NMI/ Hz, / / / // / 1, 3 SPIA 2 / PWM PWM : 2/ ( (rev1.1) 16-bit Single Chip Microcontroller 1 1.2 V (0.3 µa HALT ) 18 26 4 LCD (UART, SPI, I 2 C) S1C17W12/W13Flash1.2 V 16MCU DC-DC 4MCU LCD PWM 16CPU S1C17W12 S1C17W13 SQFN7-48pin TQFP12-48pin SQFN7-48pin

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

世界が認める高品質とキャリア x 通信スピードバリエーション SIM7000 シリーズ Cat.M1/NB-IoT 通信モジュール 4G Cat.M1/NB-IoT SIM7500 シリーズ Cat.1 通信モジュール 4G Cat.1 Vo(docomo/au) docomo/au Softban

世界が認める高品質とキャリア x 通信スピードバリエーション SIM7000 シリーズ Cat.M1/NB-IoT 通信モジュール 4G Cat.M1/NB-IoT SIM7500 シリーズ Cat.1 通信モジュール 4G Cat.1 Vo(docomo/au) docomo/au Softban 世界が認める高品質とキャリア x 通信スピードバリエーション SIM7000 シリーズ Cat.M1/NB-IoT 通信モジュール 4G Cat.M1/NB-IoT SIM7500 シリーズ Cat.1 通信モジュール 4G Cat.1 Vo(docomo/au) docomo/au Softbank SIM7100 シリーズ Cat.3/ 通信モジュール / 4G 2G Cat.3 Vo(Softbank)

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション mbed(rz/a1h 搭載 ) 対応ボード GR-PEACH 紹介 がじぇるねプロデューサーミーティング エンベデッドソリューションカンパニー 営業統括部 ME 営業担当利根川昌弘 1 特長 ルネサスエレクトロニクス社製 RZ/A1H 搭載 ARM Cortex-A シリーズ を内蔵マイコンとした 世界初の mbed 対応ボード ARM Cortex-A シーズ 内蔵マイコンとして 世界で初めて

More information

Keysight Technologies InfiniiumオシロスコープによるUSB 2.0コンプライアンス・テスト

Keysight Technologies InfiniiumオシロスコープによるUSB 2.0コンプライアンス・テスト Keysight Technologies Infiniium USB 2.0 Application Note USB Universal Serial Bus 1995 PC PC PC USB USB USB 30 USB 1 127 USB 1.1 Low Speed 1.5 Mb/s Full Speed 12 Mb/s USB-Implementers Forum USB-IF 2000

More information

メモリ空間 - 最大 16M バイトの空間をアクセス可能 (24 ビットアドレス ) DSP MUL( 乗算演算 ) ビット (1 サイクル ) MAC( 積和演算 ) ビット (1サイクル) DIV( 除算演算 ) ビット (17~20 サイクル ) 内蔵

メモリ空間 - 最大 16M バイトの空間をアクセス可能 (24 ビットアドレス ) DSP MUL( 乗算演算 ) ビット (1 サイクル ) MAC( 積和演算 ) ビット (1サイクル) DIV( 除算演算 ) ビット (17~20 サイクル ) 内蔵 CMOS 16bit Application Specific Controller 16 ビット RISC CPU コア S1C17 (Max. 33MHz 動作 ) 128K バイト Flash EEPROM 16K バイト RAM (IVRAM : CPU LCDC 共有 ) DSP 機能 (MUL MAC DIV) 10 ビット ADC I 2 S オーディオ DAC インターフェース 赤外線リモコン回路

More information

Warp demo station manual

Warp demo station manual 組み込み Linux 高速起動ソリューション "Warp!! iw-rainbow-g22d-sodimm 評価キット操作手順書 Version 1.0 Rev 日付. 1.0 2017/02/23 初版 変更内容 注意 - 本ソフトウェアおよびマニュアルの著作権は リネオソリューションズ株式会社にあります - 本ソフトウェアおよびマニュアルの一部または全部を無断で使用 複製することはできません -

More information

Express5800/110Ee (2002/01/22)

Express5800/110Ee (2002/01/22) (2002/01/22) 1. N8100-691 ( /1BG(256)) CPU L1 L2 CD-ROM LAN OS Pentium 1.0BGHz 1 32KB 256KB 128MB 1.5GB ( IDE 60GB 3( IDE 2)) ( SCSI 18.1GB 3) 14 40 100BASE-TX 10BASE-T 640 480 1280 1024(VRAM 8MB) 2. CD-ROM

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

富士通セミコンダクタープレスリリース 2013/04/22

富士通セミコンダクタープレスリリース 2013/04/22 [ プレスリリース ] 2013 年 4 月 22 日富士通セミコンダクター株式会社 低炭素社会に貢献するエナジーハーベスティング電源 IC 2 製品を新発売 ~ 電子機器やワイヤレスセンサーノードなどの電池レス化を実現 ~ 富士通セミコンダクター株式会社 ( 注 1) は エナジーハーベスティング電源 IC として 降圧型 DC/DC コンバーター ( 注 2) MB39C811 と 昇圧型 DC/DC

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

Microsoft Word - 03_PCIe特集_PCIe実現方法.doc

Microsoft Word - 03_PCIe特集_PCIe実現方法.doc でもやっぱり難しそう そう感じる貴方の為の 簡単 PCI Express 実現方法 2006 年 12 月第 3 回 目次 でもやっぱり難しそう そう感じる貴方の為の簡単 PCI Express 実現方法... 2 1 PCI Express に時間もコストも掛けたくない! そんな方へ PCI Express Bridge がお勧め!... 2 2 PCI Express Bridge とは?...

More information

Nano120_IoT-Engine_pressrelease

Nano120_IoT-Engine_pressrelease プレスリリース 報道関係者各位 2017 年 7 月 25 日 ユーシーテクノロジ株式会社 141-0031 東京都品川区西五反田 2-12-3 TEL 03-5437-2323 FAX 03-5437-2297 E-mail: contact@uctec.com URL: www.uctec.com Nuvoton の Nano120 IoT-Engine 用 Nano120 IoT-Engine

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

STM32F405VG 搭載 CPU 基板の仕様 V /10/14 STMicroelectronics 社製の Cortex-M4 ARM CPU STM32F405VGT6 を搭載した CPU 基板です 目次 1. 概要 CPU 基板のブロック図 C

STM32F405VG 搭載 CPU 基板の仕様 V /10/14 STMicroelectronics 社製の Cortex-M4 ARM CPU STM32F405VGT6 を搭載した CPU 基板です 目次 1. 概要 CPU 基板のブロック図 C STM32F405VG 搭載 CPU 基板の仕様 V006 2017/10/14 STMicroelectronics 社製の Cortex-M4 ARM CPU STM32F405VGT6 を搭載した CPU 基板です 目次 1. 概要... 2 2. CPU 基板のブロック図... 2 3. CPU 基板の部品配置とコネクタ配置図... 3 4. CPU 基板の入出力信号ピン配置... 4 1)

More information

ServerView with Data ONTAP-v インストール前にお読みください

ServerView with Data ONTAP-v インストール前にお読みください * C A 9 2 3 4 4-0 0 6 7 * CA92344-0067-01 ServerView with Data ONTAP-v TM インストール前にお読みください ServerView with Data ONTAP-v TM Software インストール前に必ずお読みください 本書は ServerView with Data ONTAP-v TM Software を使用するために必要となる

More information

Release Note for Recording Server Monitoring Tool V1.1.1 (Japanese)

Release Note for Recording Server Monitoring Tool V1.1.1 (Japanese) Recording Server Monitoring Tool リリースノート ソフトウェアバージョン 1.1.1 第 2 版 ( 最終修正日 2013 年 10 月 10 日 ) c 2013 Sony Corporation 著作権について権利者の許諾を得ることなく このソフトウェアおよび本書の内容の全部または一部を複写すること およびこのソフトウェアを賃貸に使用することは 著作権法上禁止されております

More information

VXPRO R1400® ご提案資料

VXPRO R1400® ご提案資料 Intel Core i7 プロセッサ 920 Preliminary Performance Report ノード性能評価 ノード性能の評価 NAS Parallel Benchmark Class B OpenMP 版での性能評価 実行スレッド数を 4 で固定 ( デュアルソケットでは各プロセッサに 2 スレッド ) 全て 2.66GHz のコアとなるため コアあたりのピーク性能は同じ 評価システム

More information

S1C60N08/60R08データシート

S1C60N08/60R08データシート PF244-0 Micro MN SC60N08/60R08 4-bit Single Chip Microcomputer SC60N08.0 SC () SC60R08 SC60A08.0 SC, SC () SC60L08.5 SC () SC60A08/60R08 µ µ µ µ µ µ µ µ µ SC60A08/60R08 SC60R08 SC60N08/60R08 SC60N08/60A08/60R08

More information

Microsoft Word - DS50-D A_S505A_BIOS_Manual.doc

Microsoft Word - DS50-D A_S505A_BIOS_Manual.doc BIOS マニュアル BIOS セットアップユーティリティとは BIOS セットアップユーティリティとは BIOS の設定を確認 変更するためのツールです セットアップユーティリティは 本体に内蔵されているマザーボード上のフラッシュメモリに格納されています このユーティリティで定義されている設定情報は CMOS RAM と呼ばれる特殊な領域に格納され ています この設定情報は マザーボードに搭載されているバッテリによって保存されているため

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

HDLトレーナーサンプルプログラム説明書

HDLトレーナーサンプルプログラム説明書 H8-BASE2 拡張キット説明書 June 10,2007 株式会社ソリトンウェーブ 目次 本製品の付属品について...3 本製品に付属するサンプルプログラムについて...4 サンプルソースの説明...5 1.TimerATest...5 2.LcdTest...5 3.AdcTest...5 4.AdcTest2...5 5.ComTest...5 6.PS2Test...6 7.FanTest...6

More information

IMI TSC1171 ( TSC1171) IMI YJ-M-002A( ) Zigbee TSC1171 TSC mm TSC1171 I/O A/D D/A 2.54mm 1

IMI TSC1171 ( TSC1171) IMI YJ-M-002A( ) Zigbee TSC1171 TSC mm TSC1171 I/O A/D D/A 2.54mm 1 IMI TSC1171 ( TSC1171) IMI YJ-M-002A( ) Zigbee TSC1171 TSC1171 2.54mm TSC1171 I/O A/D D/A 2.54mm 1 DIO16 DIO1/SPISEL2 ADC4 ADC3 COMP1M COMP1P DAC1 SPISEL/DIO0 SPIMOSI SPIMISO SPICLK VREF ADC1 ADC2 GND

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

untitled

untitled CISC(complex instruction set computer) RISC(reduced instruction set computer) (cross software) (compiler) (assembler) (linkage editor) (loader) tokenizer) (parser) (code generator) (execute) GNU http://www.gnu.org/

More information

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp)

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp) LM9822 LM9822 3 Channel 42-Bit Color Scanner Analog Front End Literature Number: JAJS680 LM9822 3 42 LM9822 AFE CIS CCD CDS / LM9822 14 6MHz ADC 600 / CCD CDS CCD CIS TTL/CMOS 14 6MHz 5V 5% I/O 3.3V 10%

More information

LinuxDeviceDriver2003-PDF.PDF

LinuxDeviceDriver2003-PDF.PDF Linux Kernel Conference 2003 Linux info@devdrv.com 2003/10/9 Device Drivers Limited 1 Linux 2.6 Device Drivers Limited 2 SpinLock Atomic (SMP) HyperThreading(HT) tasklet task_queue /proc Device Drivers

More information

VMware ESXiサポート版数一覧表(PRIMERGY機種別)

VMware ESXiサポート版数一覧表(PRIMERGY機種別) VMware ESXi サポート版数一覧表 ( 機種別 ) 2017 年 12 月 19 日富士通株式会社 本書について富士通がサポートする との組み合わせは 下記一覧のとおりです なお コンポーネントごとの最新のサポート版数状況は 以下 VMware ESXi サポート版数一覧表 (VMware コンポーネント別 ) で確認してください http://jp.fujitsu.com/platform/server/primergy/software/vmware/pdf/esxsvm.pdf

More information

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック (2009/10/28) 増設メモリ 1. 機能 型名 N8102-356 N8102-357 N8102-358 N8102-359 N8102-360 8GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック 533MHz( 差動 ) 1.5V 型名 N8102-351 N8102-352

More information

Engineered with TIA Portal SIMATIC HMI Efficient to a new level 1

Engineered with TIA Portal SIMATIC HMI Efficient to a new level   1 Engineered with TIA Portal SIMATIC HMI Efficient to a new level www.siemens.com/jp/hmi 1 Panel-based SIMATIC Basic HMI SIMATIC HMI SIMATIC HMI PROFINET IO 5 LED ( IP65) 5 LED PROFINET / (PROFIsafe MRP

More information

Capsense Univ. Workshop

Capsense Univ. Workshop PSoC のアーキテクチャ PMPSoC Architecture for PSoC Experiment Lab Experiment Course Material 2 V2.10 October 1st. 2018 EM2.pptx (23 Slides) Renji Mikami Renji_Mikami@nifty.com ユーザーモジュール 設計済みで, 機能 特性評価済みのデジタルおよびアナログブロック

More information

はじめに

はじめに SFC ディスカッションペーパー SFC-DP 2009-005 ARM 社の競争力分析 佐藤淳史 慶應義塾大学大学院政策 メディア研究科修士課程修了 sato726@gmail.com 2009 年 7 月 1 1 2005 2 2 32 RISC 3 SuperHSH ARM ARM 2 ARM RISC 75% 4 5 ARM ARM SH IP 6 IP 7 3 SH ARM SH ARM

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102 (2009/12/08) 増設メモリ 1. 機能 型名 N8102-339 N8102-340 N8102-341 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC3-10600) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

untitled

untitled Rev.2.70 1 1. 2. 3. 4. 5. Rev.2.70 2 ASIP PHY MIPI-DPHY / MPHY 1.8/3.3V PLL IP SER/DES 8B10B/10B/8B MIPI-DPHY SER/DES FPD-link SER/DES SMIA SER/DES DDR SER/DES DS Link CSI2 / Link ISP/WDR 10/12 ADC IP

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information