スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev A

2 コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2

3 RTC の概要 3

4 RTC の仕様 KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック (PCLK) 外部バスクロック (BCLK) ソフトウェアスタンバイモードでも動作する 4

5 RTC の動作概要 秒 分 時 日 曜日 月 年 5

6 プログラムサンプル 6

7 サンプル プログラム仕様 RTC のプログラム例 RTC を 2011 年 12 月 31 日 ( 土 )23 時 59 分 50 秒に初期化し 1 秒経過ごとに年 月 日 曜日 時 分 秒を変数にコピーします 仕様 割り込み なし 7

8 プログラム フローチャート リセット スタート main スタートアップルーチン init_rtc RTC 初期化 時刻の初期設定 桁上げ割り込み要求許可 CPU 内部レジスタ クロックレート およびメモリ内の静的変数領域はスタートアップ ルーチン内で初期化されます 秒カウンタへの桁上げで time 構造体変数を RTC の現在値で更新 時刻の読み出し手順 8

9 時刻の設定フロー カウンタの停止 カウンタの設定 = 時刻の設定 カウンタの再起動 9

10 RTC コントロールレジスタ 2(RCR2) (1) カウント動作時刻設定前 10

11 RTC コントロールレジスタ 2(RCR2) (2) 11

12 カウンタ 年カウンタ (RYRCNT) 月カウンタ (RMONCNT) 日カウンタ (RDAYCNT) 曜日カウンタ (RWKCNT) 時カウンタ (RHRCNT) 分カウンタ (RMINCNT) 秒カウンタ (RSECCNT) 範囲 :BCDで0000~9999 範囲 :BCDで01~12 範囲 :BCDで01~31 範囲 :BCDで0( 日曜 )~6( 土曜 ) 範囲 :BCDで00~23 範囲 :BCDで00~59 範囲 :BCDで00~59 日カウンタの設定範囲は月やうるう年によって異なる 12

13 RTC の初期化関数 enum{sun,mon,tue,wed,thu,fri,sat}; void init_rtc(void){ // RTCstop RTC.RCR2.BIT.START = 0; while(rtc.rcr2.bit.start!= 0); } // Timer 64Hz counter reset RTC.RCR2.BIT.RESET = 1; // set real time clock RTC.RYRCNT.WORD = 0x2011; //2011 年 RTC.RMONCNT.BYTE = 0x12; //12 月 RTC.RDAYCNT.BYTE = 0x31; //31 日 RTC.RWKCNT.BYTE = SAT; // 土曜 RTC.RHRCNT.BYTE = 0x23; //23 時 RTC.RMINCNT.BYTE = 0x59; //59 分 RTC.RSECCNT.BYTE = 0x50; //50 秒 // RTCstart RTC.RCR2.BIT.START = 1; while(rtc.rcr2.bit.start!= 1); 13

14 RTC コントロールレジスタ 1(RCR1) 1 秒ごとに起きる 14

15 時刻の読み出しフロー カウンタの読み出しは手順を踏まないと正しい値が読めない 64Hz カウンタの秒カウンタへの桁上げ リセット後は禁止なので設定不要 時刻読み出し中に桁上げが起こった 15

16 RTC のメイン関数 ( 読み出し手順 ) void main(void) { // Initialize timer (RTC) init_rtc(); // A carry interrupt is enable RTC.RCR1.BIT.CIE = 1; while(1){ // check for a carry interrupt request flag if(ir(rtc,cup) == 1){ // 1-second intervals do{ //flag clear IR(RTC,CUP) = CLEAR; struct { uint16_t year; uint8_t month; uint8_t day; uint8_t youbi; uint8_t hour; uint8_t minute; uint8_t second; } time; main init_rtc RTC 初期化 桁上げ割り込み要求許可 秒カウンタへの桁上げで time 構造体変数を RTC の現在値で更新 } } // read real time clock time.year = RTC.RYRCNT.WORD; time.month = RTC.RMONCNT.BYTE; time.day = RTC.RDAYCNT.BYTE; time.youbi = RTC.RWKCNT.BYTE; time.hour = RTC.RHRCNT.BYTE; time.minute = RTC.RMINCNT.BYTE; time.second = RTC.RSECCNT.BYTE; // check for a carry interrupt request flag }while(ir(rtc,cup) == 1); } 読み出し手順 16

17 プログラムサンプルのカスタマイズ 17

18 サンプル プログラムの追加仕様 RTC のプログラム例 RTC を 2011 年 12 月 31 日 ( 土 )23 時 59 分 50 秒に初期化し 1 秒経過ごとに年月日 曜日 時分秒を time 構造体変数にコピーします 2012 年 1 月 1 日 ( 日 )0 時 0 分 0 秒に LED を点 灯します 仕様 割り込み アラーム割り込み 18

19 アラーム動作 秒 アラームレジスタ 分 時 日 曜日 月 年 アラーム割り込み 19

20 プログラム フローチャート リセット スタート main スタートアップルーチン init_port LED の初期化 init_rtc RTC 初期化 RTC 割り込み関数 (Excep_RTC_ALM) CPU 内部レジスタ クロックレート およびメモリ内の静的変数領域はスタートアップ ルーチン内で初期化されます set_alarm アラームの設定 setpsw_i( 組み込み関数 ) 割り込み許可 LED 点灯 RTE 桁上げ割り込み要求許可 秒カウンタへの桁上げで time 構造体変数を RTC の現在値で更新 追加処理 20

21 アラームの設定フロー リセット後は禁止なので設定不要 21

22 アラームレジスタ 年アラームレジスタ (RYRAR) 年アラームイネーブルレジスタ (RYRAREN) 月アラームレジスタ (RMONAR) 曜日アラームレジスタ (RWKAR) 日アラームレジスタ (RDAYAR) 時アラームレジスタ (RDAYAR) 分アラームレジスタ (RMINAR) 秒アラームレジスタ (RSECAR) AND 条件 対応するカウンタと比較する値の設定 比較を有効とするか無効とするかの設定 22

23 RTC コントロールレジスタ 1(RCR1) (1) 更新の確認が必要 23

24 RTC コントロールレジスタ 1(RCR1) (2) 24

25 割り込み要求レジスタ i(iri)( i = 割り込みベクタ番号 ) 周期割り込み :IR62 H/W で 1 になる 25

26 割り込みコントローラの設定 割り込みコントローラ CPUへ IER( 許可 ) IPR( 優先レベル ) 26

27 割り込み要求許可レジスタ m(ierm)(m = 02h ~ 1Fh) IER0B 27

28 割り込み要因プライオリティレジスタ m(iprm)(m= 00h~8Fh) アラーム割り込み :IPR3C 28

29 アラーム設定関数 (1) enum{sun,mon,tue,wed,thu,fri,sat}; void set_alarm(void) { // Counting? while(rtc.rcr2.bit.start!= 1); // set alarm RTC.RYRAR.WORD = 0x2012; RTC.RYRAREN.BIT.ENB = 1; RTC.RMONAR.BYTE = 0x80 0x01; RTC.RDAYAR.BYTE = 0x80 0x01; RTC.RWKAR.BYTE = 0x80 SUN; RTC.RHRAR.BYTE = 0x80 0x00; RTC.RMINAR.BYTE = 0x80 0x00; RTC.RSECAR.BYTE = 0x80 0x00; // enable alarm interrupt request RTC.RCR1.BIT.AIE = 1; while( RTC.RCR1.BIT.AIE!= 1 ); EMB=1 アラーム設定関数 (2) へ続く 29

30 アラーム設定関数 (2) } アラーム設定関数 (1) の続き // enable periodic interrupt request RTC.RCR1.BIT.PIE = 1; RTC.RCR1.BIT.PES = 2; // 1/64 sec // check periodic interrupt request flag // because wait for 1/64 second while( IR(RTC,PRD)!= 0 ); IR(RTC,PRD) = 0; while( IR(RTC,PRD)!= 0 ); 1/64 秒 IR(RTC,PRD) = 0; // disable periodic interrupt request RTC.RCR1.BIT.PIE = 0; // clear alarm interrupt request flag IR(RTC,ALM) = 0; // enable alarm interrupt IEN(RTC,ALM) = 1; 1/64 秒未満 // to set the priority of alarm interrupt IPR(RTC,ALM) = 1; 30

31 割り込み関数の作成とベクタテーブルの登録 割り込み関数 // RTCALM #pragma interrupt (Excep_RTC_ALM(vect=92)) void Excep_RTC_ALM(void) { // Lighting PORT4.DR.BIT.B7 = 0; } RTC 割り込み関数 (Excep_RTC_ALM) LED 点灯 RTE 31

32 END ルネサスエレクトロニクス株式会社

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

Microsoft PowerPoint - RX62N動画マニュアルDMAC.ppt [互換モード]

Microsoft PowerPoint - RX62N動画マニュアルDMAC.ppt [互換モード] RX62N 周辺機能紹介 DMAC Direct Memory Access Controller ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DMACの概要 転送モード 起動要因 その他の機能 プログラムサンプル 2 DMAC の概要 3 機能概要 項目 内容 最大転送数起動要因チャネル優先順位 1データ転送データブロックサイズノーマル

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

【注意事項】RX Driver Package、 RXファミリ RTC モジュール Firmware Integration Technology

【注意事項】RX Driver Package、 RXファミリ RTC モジュール Firmware Integration Technology 注意事項 RX Driver Package RX ファミリ RTC モジュール Firmware Integration Technology 概要 RX Driver Package および RX ファミリ RTC モジュール Firmware Integration Technology( 以下 RTC FIT モジュール ) の使用上の注意事項を連絡します 1. R_RTC_Read 関数における時刻読み出し処理の注意事項

More information

アプリケーションノート AS-E402サンプルプログラム

アプリケーションノート AS-E402サンプルプログラム GR-SAKURA 用拡張ボード AS-E402 サンプルプログラム アプリケーションノート 1. 概要 GR-SAKURA AS-E402 CubeSuite+ 2. 用意するもの AS-E402 GR-SAKURA E1 DC GR-SAKURA AC E1 CubeSuite+ V2.01.00 3. サンプルプログラムの動作 AS-E402 LED SW1 LED1 SW2 LED2 LED3

More information

スライド 1

スライド 1 RX63N 周辺機能紹介 ETHERC/EDMAC イーサネットコントローラ / イーサネットコントローラ用 DMA コントローラ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ ETHERC/EDMAC の概要 プログラムサンプル プログラム仕様 プログラム フローチャート PHY-LSI の初期設定 PHY-LSI

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code // USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 2017.03.16 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code void main(void) /* IO ピン初期設定 */ ANSEL = 0x00; // 0b10000000

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

Microsoft Word - RTC㇢ㅊㅪㇱㅼㇷㅧㅳㅞㅉㅥ㇢ㅫ

Microsoft Word - RTC㇢ㅊㅪㇱㅼㇷㅧㅳㅞㅉㅥ㇢ㅫ リアルタイムクロックモジュール アプリケーションマニュアル 目次 1. 概要 2. ブロック図 3. 端子機能 4. 絶対最大定格 5. 電気的特性 5-1. AC 特性 (I 2 C-BUS シリアルインターフェース ) 5-2. AC 特性 2(OUTPUT 端子出力 ) 5-3. 電源立ち上げ及び電源降下時間 6. 機能説明 6-1. 時計制御レジスタテーブル 6-2. 時計 カレンダーレジスタ

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

Microsoft Word - プログラムをRAM.doc

Microsoft Word - プログラムをRAM.doc プログラムを RAM 上でデバッグする場合の説明 対象 CPU 1)H8/300H H8S シリーズ H8SX シリーズ SH-2 シリーズが対象になります Rev1.50 DEF バージョン 6.30A 仕様より DEF バージョン 7.10A 仕様より 機能 1)BSC( バスステートコントローラ ) による拡張 RAM でのデバッグに対応しました 2)PBC/UBC 無しタイプの CPU 品種でもプログラムメモリが

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]=

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]= 制御工学 I 前期中間試験問題 June2005 担当小坂 次のプログラムは,H8 モータ実験装置で位置フィードバック + 速度フィードバックを使って, モータ軸をある角度だけ回転させて止めようとするプログラムである 後の問いに答えなさい 001 /********************************************************** 002 プログラムの説明 003

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

Microsoft PowerPoint - timer_pwm2.pptx

Microsoft PowerPoint - timer_pwm2.pptx タイマー割込みと PWM 周波数設定の演習 timer_pwm2 PSoC Experiment Lab Experiment Course Material V1.20 June 25 th., 2019 timer_pwm2.pptx (17Slides) Renji Mikami MIKAMI CONSULTING タイマー割込みを使用して PWM で音楽を演奏 ラボドレミ タイマーからの割り込みと

More information

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */ /*=============================================================*/ /* インクルードファイル */ /*=============================================================*/ #include #include "../../common/iodefine.h"

More information

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL ブート領域 フラッシュ領域の分割方法 RL78 ファミリ用 C コンパイラ CC-RL 2016 年 10 月 5 日 Rev.2.00 ソフトウエア事業部 ソフトウエア技術部 ルネサスシステムデザイン株式会社 R20UT3475JJ0200 アジェンダ はじめにページ 3 概要ページ 4 ブート領域 フラッシュ領域共通ページ 12 ブート領域ページ 19 フラッシュ領域ページ 38 デバッグツールページ

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX63N グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX63N 1 / 53 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41

RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41 RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 4 3.1 使用端子一覧... 4 4. ソフトウェア説明... 5 4.1 動作概要...

More information

取扱説明書 GUESS ウォッチ 1 女性用

取扱説明書 GUESS ウォッチ 1 女性用 取扱説明書 GUESS ウォッチ 1 女性用 女性用 2 女性用 GUESS ウォッチをご購入いただき ありがとうございます 先進の電子工学技術によっ て開発され ムーブメントの製造には最高品質のコンポーネントを使用しており 長寿 命のバッテリーを搭載しています 高性能機能 30 メートル (3 ATM) ~ 100 メートル (10 ATM) 10 ATM 仕様は 水泳 ヨット セイルボード サーフィン

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Renesas Synergy TM プラットフォーム ThreadX リアルタイム OS 紹介 アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Synergy プラットフォーム構成中核を担う ThreadX リアルタイム OS ご紹介部分 ページ 3 ThreadX

More information

MSP430 FR5739 LPM3.5 を RTC アラームで wake-up するデモシステム (Example2) - その 2- LPM3.5 省電力モードを RTC アラームで wake-up して ADC10 温度測定をするデモシステム 2 Rev02 July Trout

MSP430 FR5739 LPM3.5 を RTC アラームで wake-up するデモシステム (Example2) - その 2- LPM3.5 省電力モードを RTC アラームで wake-up して ADC10 温度測定をするデモシステム 2 Rev02 July Trout MSP430 FR5739 LPM3.5 を RTC アラームで wake-up するデモシステム (Example2) - その 2- LPM3.5 省電力モードを RTC アラームで wake-up して ADC10 温度測定をするデモシステム 2 Rev02 July.8.2013 Trout( ニックネーム ) 1 概要とポイント デモシステム2は LPM4 利用のデモシステム1を LPM3.5

More information

2

2 WJ-HD150 Digital Disk Recorder WJ-HD150 2 3 q w e r t y u 4 5 6 7 8 9 10 11 12 13 14 15 16 q w SIGNAL GND AC IN 17 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event

More information

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が STM32L_LS027B4DH01 の説明 V002 2014/03/30 STM32L-Discovery 用に作成した LCD LS027B4DH01 に ASCII 文字表示を行うプログラムです Free の開発ツール Atollic TrueSTUDIO for ARM Lite ( 試用版 ) で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

RX210 グループ FIT を用いたフラッシュメモリの書き換え 要旨 本サンプルコードでは FIT を用いて 特定の内蔵フラッシュメモリ (ROM および E2 デ ータフラッシュ ) のアドレスに特定の値を書き込む方法について説明します 対象デバイス RX210 1 / 50

RX210 グループ FIT を用いたフラッシュメモリの書き換え 要旨 本サンプルコードでは FIT を用いて 特定の内蔵フラッシュメモリ (ROM および E2 デ ータフラッシュ ) のアドレスに特定の値を書き込む方法について説明します 対象デバイス RX210 1 / 50 RX210 グループ FIT を用いたフラッシュメモリの書き換え 要旨 本サンプルコードでは FIT を用いて 特定の内蔵フラッシュメモリ (ROM および E2 デ ータフラッシュ ) のアドレスに特定の値を書き込む方法について説明します 対象デバイス RX210 1 / 50 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 4. ソフトウェア説明...

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

まず,13 行目の HardwareTimer Timer(1); は,HardwareTimer というクラスを利用するという宣言である. この宣言によって Timer というインスタンスが生成される.Timer(1) の 1 は,OpenCM に 4 個用意されているタイマのうち,1 番のタイマ

まず,13 行目の HardwareTimer Timer(1); は,HardwareTimer というクラスを利用するという宣言である. この宣言によって Timer というインスタンスが生成される.Timer(1) の 1 は,OpenCM に 4 個用意されているタイマのうち,1 番のタイマ 8 タイマ割り込みを使ってみよう割り込み (Interrupt) とは, 言葉の意味の通り, ある作業中に割り込むことである. マイコンにおいてはとても重要な機能の一つである. 例えば, インスタントカップ麺にお湯を入れて 3 分間待ってから食べることを想像してみよう. お湯を入れてカップ麺ができるまでの 3 分間, 時計の針だけを見つめ続けて, 他には何にもせずに待ち続ける人はほとんどいないだろう.

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL アプリケーションノート RL78/I1D R01AN3096JJ0101 Rev.1.01 要旨 本アプリケーションノートでは RL78/I1D の中速オンチップ オシレータを利用した UART 通信方法を説明します UART 通信で求められる周波数精度を有する高速オンチップ オシレータを用いて 中速オンチップ オシレータの発振周期を定期的に測定します その測定結果に基づいて UART 通信のボーレートを補正することで

More information

本ドキュメントについて 的 ARM 統合開発環境である ARM IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計 援ツールを使 して ST 社評価ボード のソフトウェアを実際にビルド デバッグする

本ドキュメントについて 的 ARM 統合開発環境である ARM IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計 援ツールを使 して ST 社評価ボード のソフトウェアを実際にビルド デバッグする STM32 M0&M0+ ソフトウェア開発 April 2015 IAR Systems K.K. FAE Team 本ドキュメントについて 的 ARM 統合開発環境である ARM IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計 援ツールを使 して ST 社評価ボード のソフトウェアを実際にビルド

More information

TT_ARES_manual_A_draft3.5

TT_ARES_manual_A_draft3.5 Advanced Running Enhancement System 1 2 3 4 5 6 7 8 9 10 11 12 13 10:35:56A 12 N 22 47.1733 E 113 53.6288 A 50M 00 00 00 0.00 KM 3:13 P 14 15 16 17 80% 18 19 20 T1 T2 AM AM 10:35 56 2:35 56 FR SEP 19 FR

More information

CubeSuite+版RXシリアルデバッガ取扱説明書

CubeSuite+版RXシリアルデバッガ取扱説明書 CubeSuite+ 版 RX シリアルデバッガ取扱説明書 はじめに この取扱説明書は CubeSuite+ 版 RXシリアルデバッガ 用に作成されたものです RXシリアルデバッガはターゲット CPU の内蔵フラッシュメモリに書き込み シリアルポート (COM ポート ) 経由で CubeSuite+ からターゲット CPUのデバッグを行うデバッグ ツールです E1/E20エミュレータのような機器を必要としませんが

More information

5V 2.4 DSOF 4 1 1-1 1-2 5V 1-3 SET RESET 5V 5V 1-4 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 1 2 3 4 5 2 2-1 SET RESET 5V 5V 2-2 1 2 3 5V 5V 1 2 3 4 2-3 2-4

More information

ServerView with Data ONTAP-v インストール前にお読みください

ServerView with Data ONTAP-v インストール前にお読みください * C A 9 2 3 4 4-0 0 6 7 * CA92344-0067-01 ServerView with Data ONTAP-v TM インストール前にお読みください ServerView with Data ONTAP-v TM Software インストール前に必ずお読みください 本書は ServerView with Data ONTAP-v TM Software を使用するために必要となる

More information

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER

#define HOUR 0x04 #define DAY 0x05 #define WEEKDAY 0x06 #define MONTH 0x07 #define YEAR 0x08 #define CKOUT 0x0D #define CTRLT 0x0E // CLKOUT // TIMER ****************** RTC clock with thermo & moisture meter by PIC12F1827 LCD display and internal clock By nobcha all right reserved Ver 1.0 10/14/2012 PIC16F1827 4bits paralell LCD PIC12F1827 + LCD + RTC8564NB

More information

RXファミリ 多重割り込みの使い方 アプリケーションノート

RXファミリ 多重割り込みの使い方 アプリケーションノート RAN954JJ Rev.. RX.... 2 2.... 4 3.... 4 4.... 5 5.... 6 6.... 4 7.... 4 RAN954JJ Rev.. Page of 5 . IRQ IRQ3 PSW I PSW I I. #pragma interrupt (enable) : RX22 IRQ #pragma interrupt (Excep_IRQm (enable,vect=65))

More information

PowerPoint Presentation

PowerPoint Presentation STM32 M7 ソフトウェア開発入門 September 2015 IAR Systems K.K FAE Team. 本ドキュメントについて 目的 ARM 用統合開発環境である ARM 用 IAR Embedded Workbench(EWARM) と ST マイクロエレクトニクス社 (ST 社 ) 提供のサンプルプロジェクト および設計支援ツールを使用して ST 社評価ボード用のソフトウェアを実際にビルド

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 フルカラーシリアル LED テープ (1m) を GR-KURUMI で使ってみる 2014/2/25 がじぇっとるねさす鈴木 Rev. 1.00 フルカラーシリアル LED の特徴 http://www.switch-science.com/catalog/1399/ 3570 円 1m で 60 個の LED がついている 電源と信号線 1 本で制御する x 24 この信号を 24 個送信して

More information

マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1

マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1 マイコンボードの LED 制御 準備編その 1 マイコンとノート PC の役割 どうすれば LED は光るの? 光らせる LED の選択は? マイコン端子に接続された LED マイコン端子の電圧設定 制御用ソフトウェアの注意点 1 入出力ポートAD 変換器 アナログ光センサデジタル入出力ポートマイコンボード マイコンとノート PC の役割 タイマー プログラム メモリ ( アナログ入力をデジタル信号へ変換

More information

Microsoft PowerPoint - dsp12_2006.ppt

Microsoft PowerPoint - dsp12_2006.ppt 第 12 回 信 号 処 理 演 習 割 り 込 み 処 理 プログラミング 教 官 : 小 澤 助 教 授 渡 邉 ( 非 常 勤 講 師 ) 2007/01/25 本 日 の 予 定 ポーリングと 割 り 込 み 割 り 込 み 処 理 の 仕 組 み 割 り 込 み 処 理 による アナログループバックの 作 成 ボイスチェンジャーの 作 成 2 ポーリング (Polling) 目 的 ある

More information

Microsoft PowerPoint - application_manual_j(RR [32])KC社名に変更.ppt [互換モード]

Microsoft PowerPoint - application_manual_j(RR [32])KC社名に変更.ppt [互換モード] アプリケーションマニュアル Real Time Clock Module シリーズ (I 2 C) 京セラ株式会社 TKYD-RR-5-[32] 目次. 概要 3 2. ブロックダイヤグラム 3 3. 外形図 4 4. 端子機能 4 5. 絶対最大定格 5 6. 推奨動作条件 5 7. 周波数特性 5 8. 電気的特性 )DC 電気的特性 6 2)AC 電気的特性 (I 2 C-BUSシリアルインターフェース

More information

目次 目次... 1 はじめに... 3 マイコンシミュレータのデバッグ機能... 3 スタートアップコマンドファイル... 5 シミュレータコマンド ( マクロ ) 例... 6 I/O 制御等で外的要因待ちをしている場合 ( その1)... 6 I/O 制御等で外的要因待ちをしている場合 ( そ

目次 目次... 1 はじめに... 3 マイコンシミュレータのデバッグ機能... 3 スタートアップコマンドファイル... 5 シミュレータコマンド ( マクロ ) 例... 6 I/O 制御等で外的要因待ちをしている場合 ( その1)... 6 I/O 制御等で外的要因待ちをしている場合 ( そ マクロ ( シミュレータコマンド ) の使い方 2017 年 05 月 11 日第 1.4.3 版 - 1 - 目次 目次... 1 はじめに... 3 マイコンシミュレータのデバッグ機能... 3 スタートアップコマンドファイル... 5 シミュレータコマンド ( マクロ ) 例... 6 I/O 制御等で外的要因待ちをしている場合 ( その1)... 6 I/O 制御等で外的要因待ちをしている場合

More information

5 1 2 3 4 5 6 7 8 9 10 1 Quick Boot 1st Boot Device 2nd Boot Device 3rd Boot Device Network Boot Initial Display Mode S.M.A.R.T. for Hard Disks BootUp Num-Lock Password Check CPU Serial Number System BIOS

More information

TTC_AR-1081_IB共通_2_110622

TTC_AR-1081_IB共通_2_110622 Advanced Running Enhancement System Advanced Running Enhancement System N 22 47.1733 E 113 53.6288 10:35:56A 12 A 50M 0.00 3:13 P KM 80% view 1(1) view 5() view 2(2) T1 T2 10:3556 2:3556 FR SEP 19 FR

More information

スレーブ用システム設計ガイド アプライアンス社モータビジネスユニット 2012/2/15 Rev. 2 Page 1

スレーブ用システム設計ガイド アプライアンス社モータビジネスユニット 2012/2/15 Rev. 2 Page 1 スレーブ用システム設計ガイド アプライアンス社モータビジネスユニット 2012/2/15 Rev. 2 Page 1 変更履歴 Revision 日付変更内容 1 2006/2/2 初版 2 2012/2/15 P1 タイトルを ファームウェア開発ガイド ( スレーブ用 ) から変更 P3 はじめに を追加 P5 明確化のため ブロック図を修正 MNM1221ブロック図を削除 P7 XSYNC 出力タイミングを追加

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

【注意事項】RXファミリ 組み込み用TCP/IP M3S-T4-Tiny

【注意事項】RXファミリ 組み込み用TCP/IP M3S-T4-Tiny 注意事項 RX ファミリ組み込み用 TCP/IP M3S-T4-Tiny R20TS0227JJ0100 Rev.1.00 号 概要 RX ファミリ組み込み用 TCP/IP M3S-T4-Tiny ( 注 ) の使用上の注意事項を連絡します 1. Ping Reply パケットに関する注意事項 2. LAN ネットワーク環境に関する注意事項 3. select() 関数のタイムアウト設定値に関する注意事項

More information

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー 初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コード生成を利用したプログラム作成 ( ポート入力 ) P47 次回 ( 第 4 回 ) は, 以下の内容を予定しています

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

(2) 構造体変数の宣言 文法は次のとおり. struct 構造体タグ名構造体変数名 ; (1) と (2) は同時に行える. struct 構造体タグ名 { データ型変数 1; データ型変数 2;... 構造体変数名 ; 例 : struct STUDENT{ stdata; int id; do

(2) 構造体変数の宣言 文法は次のとおり. struct 構造体タグ名構造体変数名 ; (1) と (2) は同時に行える. struct 構造体タグ名 { データ型変数 1; データ型変数 2;... 構造体変数名 ; 例 : struct STUDENT{ stdata; int id; do 8 構造体と供用体 ( 教科書 P.71) 構造体は様々なデータ型,int 型,float 型や char 型などが混在したデータを一つのまとまり, 単位として扱える.( 配列は一つのデータ型しか扱えない.) 構造体は柔軟なデータ構造を扱えるので, プログラムを効率よく開発できる. つまり構造体を使用すると, コード量を抑え, バグを少なくし, 開発時間を短くし, 簡潔なプログラムが作れる. 共用体は,

More information

EQUIUM EQUIUM S5010 1

EQUIUM EQUIUM S5010 1 EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 4 1 2 5 1 2 6 1 7 1 2 3 4 5 6 7 1 2 3 4 5 6 3 7 8 9 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 16 1 1 2 3 17 1 2 3 18 4 5 6 1 19 1 2 3

More information

RX62N グループ SCI を使ったクロック同期式シングルマスタ制御ソフトウェア

RX62N グループ SCI を使ったクロック同期式シングルマスタ制御ソフトウェア RX62N SCI R01AN1088JJ0101 Rev.1.01 RX62N SCI SPI SPI MCU RX62N R1EX25xxx SPI Serial EEPROM Micron Technology M25P Serial Flash memory 64Mbit Micron Technology M45PE Serial Flash memory 1Mbit 1.... 2 2....

More information

RXファミリ 静電容量計測精度向上のためのセンサ補正

RXファミリ 静電容量計測精度向上のためのセンサ補正 アプリケーションノート RX ファミリ R01AN3610JJ0100 Rev.1.00 要旨 本アプリケーションノートは 内部電流制御発振器 ( 以下 ICO) の変動を補正することにより 静電容量式タッチセンサユニット ( 以下 CTSU) の静電容量検出精度を向上させる手順を説明します 本補正は 補正係数を計測データから算出し CTSU のセンサカウンタ値に乗算することで精度向上を図ります 動作確認デバイス

More information

< 中略 > 24 0 NNE 次に 指定した日時の時間降水量と気温を 観測地点の一覧表に載っているすべての地点について出力するプログラムを作成してみます 観測地点の一覧表は index.txt というファイルで与えられています このファイルを読みこむためのサブルーチンが AMD

< 中略 > 24 0 NNE 次に 指定した日時の時間降水量と気温を 観測地点の一覧表に載っているすべての地点について出力するプログラムを作成してみます 観測地点の一覧表は index.txt というファイルで与えられています このファイルを読みこむためのサブルーチンが AMD 気象観測データの解析 1 AMeDAS データの解析 研究を進めるにあたって データ解析用のプログラムを自分で作成する必要が生じることがあります ここでは 自分で FORTRAN または C でプログラムを作成し CD-ROM に入った気象観測データ ( 気象庁による AMeDAS の観測データ ) を読みこんで解析します データを読みこむためのサブルーチンや関数はあらかじめ作成してあります それらのサブルーチンや関数を使って自分でプログラムを書いてデータを解析していきます

More information

電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225

電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225 30181210 電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225 1. 概要本通信仕様書は 電磁流量計 WZ-C に適用します 通信の手順に限った説明書となっておりますので 通信以外の操作説明等に関しましては WZ-C に付属している取扱説明書をご覧ください 2. ご使用になる前に 2.1 接続流量計の取扱説明書をご参照のうえ お客様の上位通信機器と接続を行ってください

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

h01

h01 P03 P05 P10 P13 P18 P21 1 2 Q A Q A Q A Q A Q A 3 1 check 2 1 2-1 2-2 2-3 2-4 2-5 2-5-1 2-6 2-6-1 2-6-2 2-6-3 3 3-1 3-2 3-3 3-4 3 check 4 5 3-5 3-6 3-7 3-8 3-9 4-1 4-1-1 4-2 4-3 4-4 4-5 4-6 5-1 5-2 4

More information

1 2 3 4 1 2 1 2 3 4 5 6 7 8 9 10 11 27 29 32 33 1 2 3 7 9 11 13 15 17 19 21 23 26 CHECK! 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

More information

..0.._0807...e.qxp

..0.._0807...e.qxp 4 6 0 4 6 0 4 6 8 30 34 36 38 40 4 44 46 8 8 3 3 5 4 6 7 3 4 6 7 5 9 8 3 4 0 3 3 4 3 5 3 4 4 3 4 7 6 3 9 8 Check 3 4 6 5 3 4 0 3 5 3 3 4 4 7 3 3 4 6 9 3 3 4 8 3 3 3 4 30 33 3 Check Check Check Check 35

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 情報ネットワーク学演習 II 第 4 回講義資料 概要 今日の授業の目的 実機を使った実ネットワーク制御 OpenFlow Switch PF5240 Virtual Switch Instance (VSI) 設定方法 初期設定 VSI 作成 ポートマッピング 2 目的 これまでの授業 OpenFlowの基本 packet_in, packet_out, flowmod OpenFlowコントローラの作成

More information

10ビットPWM機能によるデューティパルス出力

10ビットPWM機能によるデューティパルス出力 お 客 様 各 位 カタログ 等 資 料 中 の 旧 社 名 の 扱 いについて 2010 年 4 月 1 日 を 以 ってNECエレクトロニクス 株 式 会 社 及 び 株 式 会 社 ルネサステクノロジ が 合 併 し 両 社 の 全 ての 事 業 が 当 社 に 承 継 されております 従 いまして 本 資 料 中 には 旧 社 名 での 表 記 が 残 っておりますが 当 社 の 資 料 として

More information

OAKS16-BoardKit

OAKS16-BoardKit CDROM OAKS16 CDROM TOOLS DOC OPTION OAKS16-M30620FCAFP RS232C OAKS16-M30620FCAFP KD30 NC30WA OAKS16-M30620FCAFP OAKS16-M30620FCAFP OAKS16-EXBOARD OAKS16-EXBOARD RS232C 次の画面が表示されます FlashStart 00000h

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

RF2_BIOS一覧

RF2_BIOS一覧 Main Main Menu System Time System Date hh:mm:ss( 時 :00~23/ 分 :00~59/ 秒 :00~59) www mm/dd/yyyy ( 曜日 : 自動設定 / 月 :01~12/ 日 :01~31/ 年 :1980~2099) Advanced Internal Pointing Device Enabled Enabled/Disabled

More information

tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができ

tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができ tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができます 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成したプロジェクトです

More information

PowerPoint Presentation

PowerPoint Presentation マイコンシステム 第 12 回 青森大学ソフトウェア情報学部 橋本恭能 haship@aomori-u.ac.jp 目次 講義 内部設計 3 Deviceタブ Actionタブの関数実装 例題 定義した機能を実現する方法を検討する 課題 動作確認 2 講義 内部設計 3 残りの関数を実装 3 組込みシステム開発 週テーマ内容 7 キッチンタイマーの組立キッチンタイマーのハードを製作 確認 8 9 10

More information

RX210、RX21A、RX220グループ アプリケーションノート SCIを用いた調歩同期式通信

RX210、RX21A、RX220グループ アプリケーションノート SCIを用いた調歩同期式通信 アプリケーションノート R01AN1423JJ0101 Rev.1.01 要旨 本アプリケーションノートでは のシリアルコミュニケーションインタフェース ( 以下 SCI) を使用して 調歩同期式のシリアル送受信を行う方法について説明します 対象デバイス 本アプリケーションノートを他のマイコンへ適用する場合 そのマイコンの仕様にあわせて変更し 十分評価してください R01AN1423JJ0101 Rev.1.01

More information