デザイン・スペース・エクスプローラ

Size: px
Start display at page:

Download "デザイン・スペース・エクスプローラ"

Transcription

1 12. QII Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation

2 Quartus II Volume 2 DSE DSE 1 DSE DSE Exploration Point Quartus II DSE DSE Exploration Point DSE Quartus II DSE DSE GUI GUI DSE Quartus II Tool Design Space Explorer quartus_sh --dse DSE quartus_sh --dse -nogui [<options>] 12 2 Altera Corporation

3 DSE -archive -concurrent-compiles [0..6] -custom-file <filename> -decision-column <"column name"> -exploration-space <"space"> -ignore-failed-base -ignore-signalprobe -ignore-signaltap -llr-restructuring -lower-priority -lsf-queue <queue name> -nogui -optimization-goal <"goal"> -project <project name> -revision <revision name> -run-power -search-method <"method"> -seeds <seed list> -skip-base -slaves <"slave list"> -stop-after-time <dd:hh:mm> -stop-after-zero-failing-paths -use-lsf DSE PC Solaris HP-UX Linux <Quartus II >/common/tcl/apps/dse/ dse.tcl Quartus II DSE Tool Launch Design Space Explorer Windows > > Altera > Design Space Explorer Quartus II < > DSE DSE Help Contents F1 Altera Corporation

4 Quartus II Volume DSE Settings Project Settings Exploration Settings DSE DSE TimeQuest DSE DSE TimeQuest TimeQuest DSE 12 4 Altera Corporation

5 DSE DSE DSE DSE DSE DSE Exploration Settings DSE Optimization Goal Quartus II DSE Optimization Goal Optimization Goal DSE Quartus II DSE DSE Fitter Seed Quartus II DSE Seeds Assignments Settings Fitter Settings DSE f MAX 5% 3 f MAX 1/3 f MAX 1/3 5% f MAX 1/3 10% Altera Corporation

6 Quartus II Volume 2 DSP DSE DSE Settings Advanced Search Advanced Exploration Space Optimization Goal Search Method Advanced Stratix III Stratix II Stratix Stratix GX Cyclone TM II Cyclone MAX II 12 1 Advanced Exploration Space Optimization Goal APEX TM 20K APEX 20KC APEX 20KE APEX II FLEX 10K FLEX 10KA FLEX 10KE Settings Advanced Search Advanced Advanced Exploration Space APEX 20K APEX II FLEX 10K Seed sweep Signature fitting effort level Extra effort for Quartus II Integrated Synthesis Projects Area optimization space Extra effort space Custom space 12 6 Altera Corporation

7 DSE DSE DSE DSE Quartus II LogicLock DSE DSE Quartus II File Open Project Open Quartus II DSE Revision Quartus II Quartus II Quartus II Volume 2 Quartus II DSE Settings Project Settings Seed Quartus II 2-5 Altera Corporation

8 Quartus II Volume 2 LogicLock Allow LogicLock Region Restructuring Logic Lock DSE LogicLock Soft Logic Lock Exploration Settings Search for Best Area Search for Best Performance Search for Lowest Power Advanced Search Search for Best Performance Search for Best Area Options Search for Lowest Power Search for Best Performance Low (Seed Sweep) Medium (Extra Effort Space) High (Physical Synthesis Space) Highest (Physical Synthesis with Retiming Space) 4 Low Highest DSE Search for Lowest Power Search for Lowest Power DSE PowerPlay Power Analyzer PowerPlay Power Analyzer DSE Search for Best Area 12 8 Altera Corporation

9 Advanced Search Advanced Search Advanced Search DSE Advanced Search 12 9 Advanced Search Advanced Search Search for Best Area Search for Lowest Power Search for Best Performance Quartus II Project Uses Quartus II Integrated Synthesis Quartus II DSE Quartus II Volume 1Quartus II Advanced Search Advanced Search 3 Exploration Space Optimization Goal Search Method Advanced Search DSE Quartus II SettingsAdvanced Search Advanced Altera Corporation

10 Quartus II Volume DSE Advanced Search Exploration Space DSE DSE DSE Seed Sweep Extra Effort Spaces Physical Synthesis Spaces Retiming Spaces Area Optimization Space Custom Space Signature mode Power Optimization Spaces Altera Corporation

11 Advanced Search Advanced Advanced 12 6 DSP DSE Options Advanced Save Exploration Space to File XML DSE < >.dse DSE DSE Seed Sweep DSE Seeds magic DSE Seed Sweep Seeds : : Altera Corporation

12 Quartus II Volume 2 Extra Effort Spaces Extra Effort Space Register Packing Seed Sweep Extra Effort Space Quartus II Extra Effort Space Physical Synthesis Space Physical Synthesis Space Extra Effort Space LUT Quartus II Volume 2 Physical Synthesis for Quartus II Integrated Synthesis Projects Physical Synthesis Quartus II Physical Synthesis for Quartus II Integrated Synthesis Projects Quartus II Retiming Space Physical Synthesis with Retiming Space Physical Synthesis Space Physical Synthesis Retiming Space for Quartus II Integrated Synthesis Projects Physical Synthesis with Retiming Space Quartus II Physical Synthesis with Retiming Space for Quartus II Integrated Synthesis Projects Quartus II Altera Corporation

13 Advanced Search Area Optimization Space Area Optimization Space Area Optimization Technique Custom Space Custom Space Custom Space DSE (1) Seed Sweep Extra Effort Physical Synthesis Retiming Area Optimization Custom Analysis & Synthesis Settings WYSIWYG Fitter Settings PowerFit 12 2 : (1) DSE Quartus II Altera Corporation

14 Quartus II Volume 2 DSE Custom Space DSE DSE f MAX 1 DSE DSE 4 Signature: Fitting Effort Level Signature: Netlist Optimizations Signature: Fast Fit Signature: Register Packing Signature: Register Packing DSE 4 (OFF Normal Minimized Area Minimize Area with Chains) Auto Packed Registers Optimization Goal DSE Optimization Goal Optimization Goal / Optimize for Speed Optimize for Area Optimize for Power Altera Corporation

15 Advanced Search Optimization Goal / Optimize for Average Period Optimize for Quality Fit fit QoF (Quality of Fit) QoF Quality of Fit QoF A -2 ns B -5 ns A 1ns B -5.5 ns QoF QoF DSE 0ns 100 ps 10 ns 100 ps QoF Clock Setup Clock Hold t SU t CO t PD t H min t CO min t PD A Clock Setup -500 ps B Clock Setup 200 ps 2 QoF -700 ps QoF QoF Altera Corporation

16 Quartus II Volume 2 cl Tcl QoF source [file join $::quartus(binpath) tcl_scripts dse calculate_quality_of_fit.tcl] Search Method Search Method DSE DSE Exhaustive search of Exploration Space Accelerated search of Exploration Space Search Methods Search Method Exhaustive search of Exploration Space Accelerated search of Exploration Space DSE DSE DSE Quartus II PowerPlay Power Analyzer SignalTap & SignalProbe Settings DSE Altera Corporation

17 DSE DSE DSE Quartus II Quartus II 12-3 Create a Revision From a DSE Point DSE DSE Decision DSE < Decision > > < Decision > DSE Decision Decision I/O Options Decision Options Advanced Change Decision Column 12 5 Decision Quartus II Timing Analyzer Report Decision DSE Decision / Decision Worst-case slack Clock Setup:'< >': Slack < > Altera Corporation

18 Quartus II Volume DSE Decision / Decision Clock Setup: '*': Slack Worst-case minimum t CO Slack Worst-case t H Slack t CO t H Worst-case t SU Slack t SU < > DSE DSE All Failing Paths report Continue Exploration Even If Base Compilation Fails DSE DSE DSE Quartus II PowerPlay Power Analyzer Run Quartus II PowerPlay Power Analyzer During Exploration DSE Quartus II PowerPlay Analyzer Archive All Compilations Quartus II (.qar) dse Altera Corporation

19 DSE Stop Flow After Time DSE Save Exploration Space to File DSE < >.dse SignalTap & SignalProbe Settings DSE SignalTap II SignalProbe SignalTap II SignalProbe DSE Error Opening Project Project is using SignalProbe.Please turn off SignalProbe before using this project with Design Space Explorer or Ignore SignalProbe Setting in your Design on the Options menu. Ignore SignalTap and SignalProbe Settings DSE Skip Base Analysis & Compilation If Possible DSE Analysis & Elaboration Quartus II Altera Corporation

20 Quartus II Volume 2 Lower Priority of Compilation Threads DSE Quartus II lower_priority lower_priority Quartus II DSE DSE DSE GUI dse.conf DSE dse.conf dse.conf DSE 12 6 dse.conf DSE OS Windows %APPDATA%/Altera/dse.conf %APPDATA% /.altera.quartus/dse.conf Unix ~/.altera.quartus/dse.conf DSE dse.conf DSE DSE Distribute Compiles to Other Machines DSE DSE Distributed DSE DSE Altera Corporation

21 DSE Use LSF Resources: DSE Platform LSF Distribute Compiles to Other Machines Quartus II DSE Exploration Space LSF DSE DSE LSF LSF Use LSF resources Configure Clients LSF Quartus II DSE DSE Quartus II quartus_sh --qslave DSE Quartus II Quartus II Configure Clients 12-4 Altera Corporation

22 Quartus II Volume DSE DSE Quartus II DSE Quartus Help quartus_sh --help=qslave DSE Quartus II DSE Quartus II HELP About DSE DSE Quartus II DSE Concurrent Local Compilations DSE Concurrent Local Compilations Altera Corporation

23 DSE Concurrent Local Compilations Quartus II 4 4 Concurrent Local Compilations DSE Exploration Space XML DSE 3 <DESIGNSPACE> </DESIGNSPACE> <POINT> </POINT> <PARAM> </PARAM> DESIGNSPACE <DESIGNSPACE> </DESIGNSPACE> 2 POINT POINT DESIGNSPACE <POINT <name>=<stage> enabled= <value> > </POINT> POINT <stage> DSE POINT <stage> map Analysis & Synthesis Altera Corporation

24 Quartus II Volume 2 fit seed llr LogicLock POINT <value> 1 0 POINT <POINT space= map enabled= 1 >... </POINT> Analysis & Synthesis PARAM PARAM POINT <PARAM name= <parameter> > </PARAM> 12 7 Analysis & Synthesis <parameter> Analysis & Synthesis (1) / Analysis & Synthesis STRATIX_OPTIMIZATION_TECHNIQUE Stratix Quartus II Analysis & Synthesis SPEED AREA BALANCED CYCLONE_OPTIMIZATION_TECHNIQUE ADV_NETLIST_OPT_SYNTH_GATE_RETIME Cyclone Quartus II Analysis & Synthesis ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP WYSIWYG SPEED AREA BALANCED OFF ON OFF ON Altera Corporation

25 DSE Analysis & Synthesis (1) / Analysis & Synthesis DSE_SYNTH_EXTRA_EFFORT_MODE Quartus II 12 7 SS (1) Analysis & Synthesis MODE_1 MODE_2 MODE_ PARAM <PARAM name= ADV_NETLIST_OPT_SYNTH_GATE_RETIME > ON </PARAM> Analysis and Synthesis (1) AUTO_PACKED_REGISTERS_STRATIX Stratix NORMAL MINIMIZE_AREA MINIMIZE_AREA_WITH_CHAINS AUTO_PACKED_REG_CYCLONE INNER_NUM PHYSICAL_SYNTHESIS_COMBO_LOGIC PHYSICAL_SYNTHESIS_REGISTER_ DUPLICATION PHYSICAL_SYNTHESIS_REGISTER_ RETIMING Cyclone PowerFit OFF MINIMIZE_AREA MINIMIZE_AREA_WITH_CHAINS { } OFF ON OFF ON OFF ON 12 8 : (1) Analysis & Synthesis Altera Corporation

26 Quartus II Volume 2 <DESIGNSPACE> <POINT space="map" enabled="1"> <PARAM name="cyclone_optimization_technique">speed</param> <PARAM name="adv_netlist_opt_synth_gate_retime">on</param> <PARAM name="adv_netlist_opt_synth_wysiwyg_remap">on</param> <PARAM name="stratix_optimization_technique">speed</param> </POINT> <POINT space="fit" enabled="1"> <PARAM name="physical_synthesis_register_retiming">on</param> <PARAM name="physical_synthesis_register_duplication"> ON</PARAM> <PARAM name="auto_packed_reg_cyclone">off</param> <PARAM name="auto_packed_registers_stratix">off</param> <PARAM name="seed">3</param> <PARAM name="physical_synthesis_combo_logic">on</param> </POINT> </DESIGNSPACE> 1 map Quartus II fit 2 map WYSIWYG fit 3 XML XML XML XML XML <?xml version="1.0" encoding="utf-8"?> <xs:schema xmlns:xs=" elementformdefault="qualified" attributeformdefault="unqualified"> <xs:element name="designspace"> <xs:annotation> <xs:documentation>the root element of a design space description</xs:documentation> </xs:annotation> <xs:complextype> <xs:sequence minoccurs="0" maxoccurs="unbounded"> <xs:element ref="point"/> </xs:sequence> <xs:attribute name="project" type="xs:string" use="optional"/> Altera Corporation

27 DSE v6.1.0 Stratix III v6.0.0 Quartus II TimeQuest v v v2.1 Quaruts II v2.0 Quaruts II v1.0 Altera Corporation

28 Quartus II Volume Altera Corporation

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16 ALTIMA Corp. Quartus Prime ガイド Design Space Explorer II の使い方 Ver.16 2017 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime ガイド Design Space Explorer II の使い方 目次 1. 2. はじめに...3 DSE II 概要...4 2-1. 2-2. 2-3. DSE II の推奨使用方法...

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

untitled

untitled 0 0 TravelXML Ver. () 00/0/ TravelXML TravelXML Ver. 0 http://www.xmlconsortium.org/wg/travelxml/ http://www.jata-net.or.jp/xml/index.htm TravelXML ver. /0 TravelXML Ver. Ver 00/0/.0 00//0.0. 00/0/. XML

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

RR-US470 (RQCA1588).indd

RR-US470 (RQCA1588).indd RR-US470 Panasonic Corporation 2006 2 3 4 http://www.sense.panasonic.co.jp/ 1 2 3 ( ) ZOOM 5 6 7 8 9 10 4 2 1 3 4 2 3 1 3 11 12 1 4 2 5 3 1 2 13 14 q φ φ 1 2 3 4 3 1 2 3 4 2 3 15 16 1 2 3 [/]p/o 17 1 2

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

2

2 L C -24K 9 L C -22K 9 2 3 4 5 6 7 8 9 10 11 12 11 03 AM 04 05 0 PM 1 06 1 PM 07 00 00 08 2 PM 00 4 PM 011 011 021 041 061 081 051 071 1 2 4 6 8 5 7 00 00 00 00 00 00 00 00 30 00 09 00 15 10 3 PM 45 00

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 1 8 1 3 1 9 2 10 2 3 1 11 2 12 2 3 1 13 14 2 2 3 1 15 2 1 2 3 4 5 16 2 6 7 8 3 1 1 2 17 2 18 2 3 1 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

1 2

1 2 1 1 2 3 1 2 3 4 5 2 3 4 4 1 2 3 4 5 5 5 6 1 1 2 3 1 8 1 3 1 9 2 10 2 3 1 11 2 12 13 3 1 2 2 14 2 3 1 15 2 16 2 3 1 17 2 18 2 3 1 19 3 20 3 3 1 21 3 22 3 3 1 23 3 24 3 3 1 25 3 26 3 3 1 27 3 28 3 3 1 29

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

;y ;y ;; yy ;y;; yy y;y;y;y ;y; ;; yy ; y Portable CD player Operating Instructions RQT5364-S

;y ;y ;; yy ;y;; yy y;y;y;y ;y; ;; yy ; y Portable CD player Operating Instructions RQT5364-S ;y ;y ;; yy ;y;; yy y;y;y;y ;y; ;; yy ; y Portable CD player Operating Instructions -S + - + - 1 3 K 2 - + H K Ni-Cd A.SHOCK S-XBS HOLD HOLD HOLD HOLD ( 1; 1; 6 VOLUME 5 4 1; A.SHOCK S-XBS RANDOM NOR

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2 HB102050A0-4 制限 補足 Esc Enter Esc Enter Esc Enter Main Advanced Server Security Boot Exit A SATA Configuration SATA Controller(s) SATA Mode Selection [Enabled] [RAID] Determines how

More information

2

2 L C -60W 7 2 3 4 5 6 7 8 9 0 2 3 OIL CLINIC BAR 4 5 6 7 8 9 2 3 20 2 2 XXXX 2 2 22 23 2 3 4 5 2 2 24 2 2 25 2 3 26 2 3 6 0 2 3 4 5 6 7 8 9 2 3 0 2 02 4 04 6 06 8 08 5 05 2 3 4 27 2 3 4 28 2 3 4 5 2 2

More information

C

C (1) (2) Play Volume (3) (4) (5) (6) (7) (8) Ctrl N Ctrl Ctrl (9) (10) OFF (11) (12) OFF (13) (14) 2 3 4 5 6 7 LCD LCD 8 TV ANT. S IN VIDEO IN LINE-L IN LINE-R IN 9 10 11 12 LCD LCD 13 LCD 14 LCD 15 LCD

More information

取扱説明書の読み替え一覧表

取扱説明書の読み替え一覧表 SCSI アレイコントローラカード取扱説明書 ( 追補版 ) PG-140BL PG-140C PG-140CL PG-141B PG-142B PG-142C PG-142D GP5-150 GP5-1501 GP5-151 はじめに Linux MicrosoftWindows NTMicrosoft Corporation NetwareNovell Copyright 1985-2001 Microsoft

More information

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi ALTIMA Company, MACNICA, Inc. HDL Designer Series Ver. 2016.2 2017 7 Rev.1 ELSENA,Inc. 1. 2. 3....3 HDL Designer Series...3...4 3-1. 3-2. SupportNet... 4... 5 4....6 4-1. 4-2.... 6 GUI... 6 5. HDL Designer

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 8 4 1 2 3 5 1 2 1 2 3 4 5 6 7 6 1 3 7 1 2 3 4 5 6 7 8 9 10 11 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 1 16 1 1 17 1 2 18 3 1 4 5 19

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

US40cユーザーズガイド

US40cユーザーズガイド US40c 1 Enter CURRENT Passord:? _ InsydeH20 Setup Utility Main Advanced Security Boot Exit Video Configuration Poer Save Quick Charge HDD [2GB ATA Flash

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

EQUIUM EQUIUM 1

EQUIUM EQUIUM 1 EQUIUM EQUIUM 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 8 9 4 1 2 3 5 1 2 3 1 2 3 4 5 6 7 6 1 3 7 1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 4 5 6 7 8 9 10 1 1 2 3 4 12 1 13 14 1 15 16 1 17 18 1 1 1 19 1 2 20 3 1

More information

2

2 WJ-HD150 Digital Disk Recorder WJ-HD150 2 3 q w e r t y u 4 5 6 7 8 9 10 11 12 13 14 15 16 q w SIGNAL GND AC IN 17 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event

More information

RQT8189-S.indd

RQT8189-S.indd A Operating Instructions Portable CD Player SL-CT730 BATTERY CARRYING CASE SL-CT730 SL-CT830 RQT8189-S F0805SZ0 OPEN OPEN + - + - DC IN SL-CT730SL-CT830 DC IN EXT BATT DC IN () SL-CT730 SL-CT830 SL-CT730

More information

Microsoft Word - MetaFluor70取扱説明.doc

Microsoft Word - MetaFluor70取扱説明.doc MetaFluor (Version 7.7) MetaFluor 1. MetaFluor MetaFluor Meta Imaging Series 7.x Meta Imaging Series Administrator CCD Meta Imaging Series Administrator CCD Molecular Devices Japan KK/ Imaging Team (1/14)

More information

EQUIUM EQUIUM S5010 1

EQUIUM EQUIUM S5010 1 EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 4 1 2 5 1 2 6 1 7 1 2 3 4 5 6 7 1 2 3 4 5 6 3 7 8 9 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 16 1 1 2 3 17 1 2 3 18 4 5 6 1 19 1 2 3

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

CLUSTERPRO MC StorageSaver istorage M シリーズ使用時の設定手順 (HP-UX 版 Linux 版 Windows 版 ) 2013(Sep) NEC Corporation istorage M シリーズを使用する場合の StorageSaver 設定手順 (H

CLUSTERPRO MC StorageSaver istorage M シリーズ使用時の設定手順 (HP-UX 版 Linux 版 Windows 版 ) 2013(Sep) NEC Corporation istorage M シリーズを使用する場合の StorageSaver 設定手順 (H CLUSTERPRO MC StorageSaver istorage M シリーズ使用時の設定手順 (HP-UX 版 Linux 版 Windows 版 ) 2013(Sep) NEC Corporation istorage M シリーズを使用する場合の StorageSaver 設定手順 (HP-UX 版 ) istorage M シリーズを使用する場合の StorageSaver 設定手順

More information

TH-42PAS10 TH-37PAS10 TQBA0286

TH-42PAS10 TH-37PAS10 TQBA0286 TH-42PAS10 TH-37PAS10 TQBA0286 2 4 8 10 11 17 18 20 21 22 23 24 25 26 27 28 29 30 31 32 33 38 42 44 46 50 51 52 53 54 3 4 5 6 7 8 3 4 1 2 9 5 6 1 4 2 3 5 6 10 11 1 2 3 4 12 13 14 TH-42PAS10 TH-42PAS10

More information

5 1 2 3 4 5 6 7 8 9 10 1 Quick Boot 1st Boot Device 2nd Boot Device 3rd Boot Device Network Boot Initial Display Mode S.M.A.R.T. for Hard Disks BootUp Num-Lock Password Check CPU Serial Number System BIOS

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

XML Consortium XML XML Consortium Canon, Inc. Yoshikazu Yokomizo XML Consortium HTML CSS1 CSS2 XSL 1.0 XSLT V1.0 XPath 1.0 XML Base XML Sche

XML Consortium XML XML Consortium Canon, Inc. Yoshikazu Yokomizo XML Consortium HTML CSS1 CSS2 XSL 1.0 XSLT V1.0 XPath 1.0 XML Base XML Sche XML 2006.5.24 HTML CSS1 CSS2 XSL 1.0 XSLT V1.0 XPath 1.0 XML Base XML Schema Part 0 W3C XML Schema Part 1 HTML 4.0 XHTML 1.0 Namespaces XPointer 1.0 XLink 1.0 XML 1.0 XML Schema Part 2 XML I/F DOM Level1

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

 

  LogicStudio Getting Started Manual December, 2010 LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977-6499 Tel: (845) 578-6020, Fax: (845) 578 5985 : 1 a)b)c) 90 : 2010 by LeCroy Corporation.

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

JAJP.indd

JAJP.indd Agilent Application Note 1....1 2. MIMO...2 2.1...2 2.2 MIMO...3 3. Agilent MIMO...4 3.1 P...4 3.2 U2000 USB...7 4....10 4.1 P...11 4.2 U2000...16 2 T 0 T 1 = 1.15 0.26 0.39 1.03 R 0 R 1 CB.log 2 1 C MIMO

More information

Version1.5

Version1.5 Version1.5 Version Date Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Version1.5 Test J/K/SE0_NAK USB-IF Test Procedure FS Upstream Signal Quality Test Receiver Sensitivity Test DG2040 Packet

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

untitled

untitled LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977 6499 Tel: (845) 578 6020, Fax: (845) 578 5985 Internet: www.lecroy.com 2010 by LeCroy Corporation. All rights reserved. LeCroy and other

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

CLUSTERPRO MC StorageSaver istorage M シリーズ使用時の設定手順 (HP-UX 版 Linux 版 Windows 版 ) 2013(Apr) NEC Corporation istorage M シリーズを使用する場合の StorageSaver 設定手順 (H

CLUSTERPRO MC StorageSaver istorage M シリーズ使用時の設定手順 (HP-UX 版 Linux 版 Windows 版 ) 2013(Apr) NEC Corporation istorage M シリーズを使用する場合の StorageSaver 設定手順 (H CLUSTERPRO MC StorageSaver istorage M シリーズ使用時の設定手順 (HP-UX 版 Linux 版 Windows 版 ) 2013(Apr) NEC Corporation istorage M シリーズを使用する場合の StorageSaver 設定手順 (HP-UX 版 ) istorage M シリーズを使用する場合の StorageSaver 設定手順

More information

Quickstart Guide 3rd Edition

Quickstart Guide 3rd Edition 10 QNX QNX 1 2 3 4 5 QNX Momentics QNX Neutrino RTOS QNX Neutrino 6 7 8 QNX Neutrino 9 10 1 1 QNX Neutrino RTOS QNX Momentics Windows Vista Windows 2000 Windows XP Linux QNX Neutrino QNX Momentics CD http://www.qnx.co.jp/

More information

ocg:md_metadata... 4 ocg:identificationinfo... 0 ocg:md_dataidentification... 2 ocg:citation...6 ocg:title... 8 ocg:jornal

ocg:md_metadata... 4 ocg:identificationinfo... 0 ocg:md_dataidentification... 2 ocg:citation...6 ocg:title... 8 ocg:jornal .... 2.... 2 3.... 4 ocg:md_metadata... 4 ocg:identificationinfo... 0 ocg:md_dataidentification... 2 ocg:citation...6 ocg:title... 8 ocg:jornal... 20 ocg: volume... 22 ocg:... 24 ocg:cruise... 26 ocg:ship...

More information

NW-E042/E043/E044

NW-E042/E043/E044 OS IBM PC/AT Windows XP Home Edition Service Pack 2 /Windows XP Professional Service Pack 2 / Windows Vista Home Basic/Windows Vista Home Premium/Windows Vista Business/Windows Vista Ultimate OS Windows

More information

Rotem Meter View Software

Rotem Meter View Software Rotem Meter View (RMV) Version 2.05 Rotem Meter View Software PRIR42X9.DOC Page 1 1... 3 2... 3 3... 3 4... 4 5... 4 5.1 PC COM... 4 5.2 Excel... 5 5.3... 5 5.3.1... 5 5.3.2 Lost Contact Interval... 6

More information

fx-9860G Manager PLUS_J

fx-9860G Manager PLUS_J fx-9860g J fx-9860g Manager PLUS http://edu.casio.jp k 1 k III 2 3 1. 2. 4 3. 4. 5 1. 2. 3. 4. 5. 1. 6 7 k 8 k 9 k 10 k 11 k k k 12 k k k 1 2 3 4 5 6 1 2 3 4 5 6 13 k 1 2 3 1 2 3 1 2 3 1 2 3 14 k a j.+-(),m1

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information

Configuring_01

Configuring_01 Symantec Backup Exec Dell EqualLogic Microsoft Exchange Server SQL Server IT / / 24 365 Symantec Backup Exec Advanced Disk-based Backup Option (ADBO) Dell Equal- Logic Microsoft Exchange Server 2003 2007

More information

untitled

untitled Dell PowerEdgeDell EMC CX500BakBone NetVault VMware ESX Server 2.5 & NetVault... 2... 2... 3 OS... 4 VMWARE ESX SERVER 2.5 SERVICE CONSOLE... 5 VMWARE ESX SERVER 2.5 NETVAULT... 6... 7 OS... 7 OS... 8

More information

untitled

untitled January 2009 Rotor-Gene Q Sample & Assay Technologies 1 1-1 1.1 1-1 1.2 Rotor-Gene Q 1-1 1.3 Rotor-Gene Q 1-1 1.4 1-1 2 2-1 2.1 Run File 2-1 2.2 2-2 2.3 2-2 2.4 PCR 2-3 2.5 2-3 2.6 2-4 2.7 2-4 2.8 2-5

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

NW-E023F / E025F / E026F

NW-E023F / E025F / E026F OS IBM PC/AT Windows XP Home Edition Service Pack 2 /Windows XP Professional Service Pack 2 / Windows XP Media Center Edition 2004 Service Pack 2 /Windows XP Media Center Edition 2005 Service Pack 2 /Windows

More information

+ -

+ - i i C Matsushita Electric Industrial Co., Ltd.2001 -S F0901KK0 seconds ANTI-SKIP SYSTEM Portable CD player Operating Instructions -S + - + - 9 BATTERY CARRYING CASE K 3 - + 2 1 OP 2 + 3 - K K http://www.baj.or.jp

More information

NW-E062 / E063 / E062K/ E063K

NW-E062 / E063 / E062K/ E063K / FM / 112 NW-E062 / E063 / E062K/ E063K 59 70 1 USB USB / MD CD MD CD 111 x- x- 112 NW-E062 / E063 / E062K/ E063K / FM / 112 NW-E062 / E063 / E062K/ E063K / FM / 112 MD CD MD CD x- CD 29 31 47 52 111

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

WT3000 プレシジョンパワーアナライザ ユーザーズマニュアル

WT3000 プレシジョンパワーアナライザ ユーザーズマニュアル WT3000 IM 760301-01 8th Edition: February 2014 (YMI) All Rights Reserved, Copyright 2004 Yokogawa Electric Corporation All Rights Reserved, Copyright 2013 Yokogawa Meters & Instruments Corporation YOKOGAWA

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

フリーセルプロの使い方

フリーセルプロの使い方 FreeCell Pro 011 2 FreeCell Pro 2002 FCPRO.HLP FreeCell Pro6.4 6.5 FreeCell Pro 1000 http://solitairelaboratory.com/fcpro.html FreeCell Pro 2009 2 3 FreeCell Pro Microsoft FC 0 Windows 3.1 FreeCell Pr

More information

(1) 1 GPS GPS-CS3K Sony Corporation

(1) 1 GPS GPS-CS3K Sony Corporation 4-130-775-03(1) 1 GPS GPS-CS3K 2 3 2009 Sony Corporation 3 VCCIB 2 GPS 5 6 8 9 11 1 14 GPS 16 19 2 20 23 /GPS 27 3 / 31 Super Mapple Digital Ver. 9 for Sony 32 34 36 3 GPS 38 39 40 41 45 4 GPS GPS-CS3K

More information

RT-PCR プロトコール.PDF

RT-PCR プロトコール.PDF Real -Time RT-PCR icycler iq Bio Rad RT-PCR RT-PCR 1 icycler iq Bio Rad icycler iq 30 2 Ready-To-Go T-Primed First-Strand Kit (amersham pharmacia biotech) Ready-To-Go T-Primed First-Strand Kit QuantiTect

More information

untitled

untitled 1 OrCAD PSpice OrCAD PSpice OrCAD PSpice OrCAD Capture OrCAD Capture OrCAD 15.7 Demo OrCAD Capture CIS Demo 1.1 Capture 1 OrCAD Capture 1.2 1.2 OrCAD Capture [File] [New] [Project] 1.3 Project 2 New Project

More information

1

1 DVC512/DVC512GOLD 日本語取扱説明書 1 3 3 3 USB/DMX 4 6 6 SETUP 7 8 9 9 10 11 11 12 12 12 13 13 14 15 AUTO/LTP/HTP 16 17 17 18 19 19 Scene Audio BPM Sync 20 Audio BPM Sync21 21 22 22 23 24 Tricks & Tips25 3 XLR

More information

2 3

2 3 RR-XR330 C Matsushita Electric Industrial Co., Ltd.2001 2 3 4 + - 5 6 1 2 3 2 1-3 + + - 22 +- 7 22 8 9 1 2 1 2 1 2 3 12 4 1 2 5 12 1 1 2 3 1 2 1 2 10 11 1 2 $% 1 1 2 34 2 % 3 % 1 2 1 2 3 1 2 12 13 1 2

More information

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environment for microcontrollers (MCUs) from Renesas Technology

More information

GNU Emacs GNU Emacs

GNU Emacs GNU Emacs GNU Emacs 2015 10 2 1 GNU Emacs 1 1.1....................................... 1 1.2....................................... 1 1.2.1..................................... 1 1.2.2.....................................

More information

Quartus II - TimeQuest クイック・ガイド

Quartus II - TimeQuest クイック・ガイド Quartus II TimeQuest クイック ガイド ver. 9.1 2010 年 6 月 1. はじめに この資料は Quartus II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています TimeQuest は 独立したツールとして高性能なタイミング解析を行えるだけでなく Quartus II に対して TimeQuest の解析結果に基づいた配置配線を実行させることもできます

More information

untitled

untitled Track Stick...1...2...7...8...9...10...10...14...14...17...19...23 1. CD CD 2. INSTALL TRACK SITCK MANAGER 3. OK 2 4. NEXT 5. license agreement I agree 6. Next 3 7. 8. Next 9. Next 4 10. Close 9 OK PDF

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

LC304_manual.ai

LC304_manual.ai Stick Type Electronic Calculator English INDEX Stick Type Electronic Calculator Instruction manual INDEX Disposal of Old Electrical & Electronic Equipment (Applicable in the European Union

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

TH-42/47/55LF6J,TH-42/47/55LF60J

TH-42/47/55LF6J,TH-42/47/55LF60J - + - + 1 2 M3 HDMI HDMI AV OUT HDMI AV OUT DVD DVD 19 3 1 18 4 2 11 12 13 14 15 16 17 18 19 10 DVD VIDEO OUT VCR AUDIO OUT L R RCA-BNC RCA-BNC Y PB PR OUT RGB OUT L R AUDIO OUT RGB DVD DVI-D PC DVI 5

More information