Vivado Design Suite チュートリアル : デザイン フローの概要 (UG888)

Size: px
Start display at page:

Download "Vivado Design Suite チュートリアル : デザイン フローの概要 (UG888)"

Transcription

1 Vivado Design Suite チュートリアル デザインフローの概要

2 Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.to the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.you may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications: Copyright Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.all other trademarks are the property of their respective owners. 本資料は英語版 (v2012) を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください この資料に関するフィードバックおよびリンクなどの問題につきましては jpn_trans_feedback@xilinx.com までお知らせください いただきましたご意見を参考に早急に対応させていただきます なお このメールアドレスへのお問い合わせは受け付けておりません あらかじめご了承ください 改訂履歴 日付 バージョン 改訂内容 2013/06/ リリースに合わせて更新 2013/04/ 若干の文章の修正 2013/03/ リリースに合わせて更新

3 目次 TOC デザインフローの概要 3

4 Vivado Design Suite チュートリアル デザインフローの概要 このチュートリアルでは ザイリンクス Vivado Integrated Design Environment (IDE) 用の使用モデルとデザインフローについて説明します 小さなサンプルデザインを使用し RTL からビットストリームまでの基本的な手順を 2 つのデザインフローで説明します どちらのフローでも Vivado IDE またはバッチ Tcl スクリプトを実行することができます Vivado ツールの Tcl API には非常に柔軟性があり デザインの設定および実行 解析およびデバッグがしやすくなっています プロジェクトモードと非プロジェクトモード デザインツールでデザインプロセスおよびデザインデータが自動的に管理したい場合もあれば ソースおよびプロセスを自分で管理する必要がある場合もあります Vivado Design Suite では デザインソースファイルの管理 合成およびインプリメンテーション結果の保存 デザインフローのプロジェクトステータスの管理のため プロジェクトファイル (.xpr) とディレクトリ構造を使用します デザインデータ プロセス およびステータスを自動管理するには プロジェクトの形で管理することが必要です そのため このフローはプロジェクトモードと呼ばれます 一方で ソースのコンパイル デザインのインプリメント 結果のレポートという FPGA デザインプロセスをソースファイルのコンパイルのように実行するフローもあります このコンパイルスタイルフローは 非プロジェクトモードと呼ばれます Vivado Design Suite ではどちらも簡単に使用できます プロジェクトモードおよび非プロジェクトモードの概要は次のセクションで説明します これらのデザインモードの説明 機能 それぞれの利点といった詳細については Vivado Design Suite ユーザーガイド : デザインフローの概要 (UG892) を参照してください 非プロジェクトモード この使用モデルはスクリプトベースのユーザー用で デザインデータの管理やデザインステートのチェックを Vivado ツールで自動的に管理したくない場合に使用します Vivado ツールは 単にさまざまなソースファイルを読み込んで フロー全体を通してメモリにあるデザインをコンパイルします インプリメンテーションプロセスの任意の段階で さまざまなレポートを生成し デザインルールチェック (DRC) を実行し デザインチェックポイントを書き出すことができます フロー全体を通して メモリ内のデザインや保存されているチェックポイントを Vivado IDE で開き デザインを解析し ネットリスト / 制約を修正したりできます ただし 非プロジェクトモードの場合 ソースファイルは Vivado IDE で修正できません また このモードでは ソースファイルおよび run の管理 ソースファイルへのクロスプローブ デザインステートのレポートなどのプロジェクトベースの機能は使用できません つまり ソースファイルがアップデートされるたびに デザインを再度読み込む必要があります デザインフローの概要 4

5 デザインフローの概要 非プロジェクトモードでは デフォルトではレポートや中間ファイルは作成されないため Tcl コマンドでレポートやデザインチェックポイントの作成を指示する必要があります プロジェクトモード この使用モデルは デザインプロセス全体を Vivado ツールで管理するユーザー向けで ソースファイル / 制約 / 結果の管理 統合 IP デザイン ソースへのクロスプローブなど さまざまな機能が使用できます プロジェクトモードでは ディレクトリ構造を作成して デザインソースファイル IP データ 合成およびインプリメンテーション run の結果 関連レポートなどを管理します Vivado Design Suite では ソースファイルのステータス コンフィギュレーション デザインのステートなどが管理およびレポートされます 複数の run を作成および設定して さまざまな制約およびコマンドオプションを確認できます Vivado IDE では インプリメンテーション結果を RTL ソースファイルにクロスプローブできます また Tcl コマンドを使用してフロー全体をスクリプトにし 必要であれば Vivado IDE を開きます Tcl コマンドの使用 Tcl コマンドおよびスクリプト手法は 使用されるデザインフローによって異なります 非プロジェクトモードを使用する場合 ソースファイルは read_verilog read_vhdl read_edif read_ip および read_xdc コマンドを使用して読み込まれます Vivado Design Suite は メモリ内にデザインデータベースを作成し データを合成 シミュレーションおよびインプリメンテーションに渡します プロジェクトモードを使用する場合 ソースファイルを管理したり デザインステータスをチェックするためのプロジェクトディレクトリ構造を作成するため create_project add_files import_files add_directories コマンドを使用します synth_design opt_design place_design route_design のような個別の基本コマンドは バッチフローではこれらをすべて含んだ launch_runs コマンドに置き換えられます launch_runs コマンドには 基本コマンドがまとめられていて またデフォルトレポートを生成し run ステータスをチェックするためのコマンドも含まれています プロジェクトモードの Tcl スクリプトは非プロジェクトモードのものとは異なります このチュートリアルでは Vivado IDE だけでなく プロジェクトモードと非プロジェクトモードの両方について説明します このチュートリアルで説明される解析機能の多くは ほかのチュートリアルで詳しく説明されています ここではすべてのコマンドやコマンドオプションを説明するわけではありませんので ご了承ください ツールで提供される Tcl コマンドのリストを確認するには Vivado Design Suite Tcl コマンドリファレンスガイド (UG835) を参照してください デザインフローの概要 5

6 チュートリアルデザインの説明 このチュートリアルには それぞれ個別に実行できる 2 つの演習があります 演習 1 : 非プロジェクトデザインフローの使用 bft デザインをインプリメントするためのサンプルの run スクリプトについて学びます 各段階でさまざまなレポートを表示して確認します vivado.log ファイルを確認します デザインチェックポイントを書き出します 合成後 Vivado IDE を開いて タイミング制約の定義および I/O プランニングを確認し 制約のアップデート方法を学びます インプリメント済みデザインのチェックポイントを開いて タイミング 消費電力 使用率 配線を解析します 演習 2 : プロジェクトベースデザインフローの使用 新しいプロジェクトを作成します Vivado IDE を使用して bft デザインをインプリメントする方法について学びます 各段階でさまざまなレポートを表示して確認します 合成済みデザインを開いて タイミング制約の定義 I/O プランニング デザイン解析を確認します インプリメント済みデザインを開いて タイミング 消費電力 リソース使用率 配線およびクロスプローブを解析します Vivado を終了して launch_runs で作成された vivado.jou ファイルを元に Tcl スクリプトを作成します 新しく作成した Tcl スクリプトを使用してデザインを実行し直します Vivado IDE でプロジェクトを開いて 実行後のデザインステータスが正しいかどうか確認します チュートリアルデザインの説明 このチュートリアルでは bft という小型のサンプルデザインを使用します この bft デザインには VHDL および Verilog のソースファイルが複数と XDC 制約ファイルが 1 つ含まれています このデザインは xc7k70t デバイスをターゲットにしています 小型デザインを使用することで チュートリアルを最小ハードウェア要件で実行し 時間内に完了させることができるだけでなく データサイズも小さくすることができます デザインフローの概要 6

7 ソフトウェア要件 ソフトウェア要件 このチュートリアルを実行するには Vivado Design Suite 2012 以降のバージョンのツールがインストールされていることが前提になっています インストール手順についての詳細は Vivado Design Suite ユーザーガイド : リリースノート インストールおよびライセンス (UG973) を参照してください ハードウェア要件 サポートされている OS は Redhat 5.6 Linux 64 ビットおよび 32 ビット Windows 7 の 64 ビットおよび 32 ビットです Vivado ツールを使用するには 2GB 以上の RAM を使用してください チュートリアルデザインファイルのディレクトリ このチュートリアルのファイルは Vivado Design Suite の次のディレクトリにあります <Vivado_install_area>/Vivado/<version>/examples/Vivado_Tutorial また ローカルディレクトリにチュートリアルファイルを保存したり 変更を加える前の元の状態にファイルを戻すため 提供されている ZIP ファイルを随時抽出することもできます 書き込み権のあるディレクトリに ZIP ファイルを解凍します <Vivado_install_area>/Vivado/<version>/examples/Vivado_Tutorial.zip このチュートリアルでは 解凍された Vivado_Tutorial ディレクトリを <Extract_Dir> と記述します 注記 : このチュートリアルの演習では チュートリアルデザインデータを変更します このチュートリアルを開始するたびに 元の Vivado_Tutorial ディレクトリから新しくコピーしてください デザインフローの概要 7

8 手順 1 : サンプルスクリプトの確認 演習 1 : 非プロジェクトデザインフローの使用 この演習では 非プロジェクトモードとそれに関する Tcl コマンドについて説明します 手順 1 : サンプルスクリプトの確認 サンプルスクリプト <Extract_Dir>/Vivado_Tutorial/run_bft_batch.tcl をテキストエディターで開いて ステップを確認してください STEP#0:Define output directory location. STEP#1:Setup design sources and constraints. STEP#2:Run synthesis, write design checkpoint and generate reports. STEP#3:Run placement and optimization commands, write design checkpoint and generate reports. STEP#4:Run routing command, write design checkpoint and generate reports. STEP#5:Generate a bitstream. ほとんどの Tcl コマンドがコメントアウトされていることがわかります これらを手動で 1 つずつ実行します サンプルスクリプトはこのチュートリアルの後半でコマンドをコピーして貼り付けるので 開いたままにしておきます 手順 2 : サンプルデザインを使用して Vivado ツールを起動 Linux の場合 : 演習資料を保存したディレクトリに移動します cd <Extract_Dir>/Vivado_Tutorial Vivado Design Suite Tcl シェルを起動し チュートリアルデザインを作成するための Tcl スクリプトを実行します Windows の場合 : vivado mode tcl source run_bft_batch.tcl Vivado Design Suite Tcl シェルを起動します [ スタート ] [ すべてのプログラム ] [Xilinx Design Tools] [Vivado 2012] [Vivado 2012 Tcl Shell] をクリック Tcl シェルで演習資料が保存されているディレクトリに移動します デザインフローの概要 8

9 手順 3 : デザインの合成 Vivado% cd <Extract_Dir>/Vivado_Tutorial チュートリアルデザインを作成するため Tcl スクリプトを実行します Vivado% source run_bft_batch.tcl ここから先は Vivado Design Suite Tcl シェルを Tcl シェル と呼びます このスクリプトが完了した後は Tcl プロンプト Vivado% が表示されます 図 1 : Vivado および Tcl スクリプトの起動 Vivado ツールの Tcl プロンプトから その他の Tcl コマンドを入力できます 手順 3 : デザインの合成 run_bft_batch.tcl スクリプトから synth_design コマンドをコピーして Tcl シェルに貼り付け 合成が終了するのを待ちます Tcl シェルには右クリックのポップアップメニューを使用すると貼り付けることができます synth_design -top bft -part xc7k70tfbg flatten rebuilt 注記 : サンプルスクリプトのコマンドはコメントになっています 行頭の # 文字はコピーするとコメントとして処理されてしまうので コピーしないようにしてください 合成レポートをスクロールして確認します デザインフローの概要 9

10 手順 4 : Vivado IDE の起動 Vivado Tcl プロンプトが表示されたら 合成の後に続く write_checkpoint report_timing_summary report_power コマンドをコピーして貼り付けます write_checkpoint -force $outputdir/post_synth report_timing_summary -file $outputdir/post_synth_timing_summary.rpt report_power -file $outputdir/post_synth_power.rpt 別のウィンドウを開いて 出力ディレクトリに作成されたファイルを確認します Windows の場合は ファイルブラウザーを使用した方が確認しやすいでしょう <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data/bft_output テキストエディターを使用し 作成されたさまざまなレポートファイル (*.rpt) を確認します 手順 4 : Vivado IDE の起動 Vivado プロジェクトがディスクに作成されていなくても メモリ内のデザインはツールで使用できるため Tcl シェルから Vivado IDE を起動してデザインを表示します 非プロジェクトモードでは デザインプロセスのさまざまな段階で Vivado IDE を使用できます 現在のネットリストおよび制約は Vivado IDE のメモリに読み込まれており 解析および修正ができます ロジックまたは制約への変更はすべてメモリに即時反映され 次のツールへ渡されます これは ファイルを保存し読み込み直す必要のある ISE ツールとは大きく異なる点です start_gui コマンドで Vivado IDE を開きます Vivado% start_gui 図 2 : start_gui で Vivado IDE を開く Vivado IDE には さまざまなデザイン表示機能や設定変更機能があり さらに解析を実行したり制約を設定することができます デザインフローの概要 10

11 手順 4 : Vivado IDE の起動 図 3 : Vivado IDE - 非プロジェクトモード ヒント : Vivado IDE を閉じ Vivado Design Suite の Tcl シェルに戻るには stop_gui コマンドを使用します Vivado IDE で [File] [Exit] をクリックすると Vivado ツールは完全に終了します 非プロジェクトモードの場合はデザインにプロジェクトが含まれないため Vivado IDE でソースファイルや run の管理はできません つまりメモリ内のデザインを実際に解析しているということになります Vivado の Flow Navigator およびその他のプロジェクトベースのコマンドも非プロジェクトモードでは使用できません デザインフローの概要 11

12 手順 5 : タイミング制約と I/O 配置の定義 手順 5 : タイミング制約と I/O 配置の定義 タイミング制約と物理制約は一般的にインプリメンテーション前に定義しておく必要があります Vivado ツールでは 制約ファイルから制約を読み込んだり Vivado IDE を使用してインタラクティブに制約を入力したりできます タイミング制約の定義 [Window] [Timing Constraints] をクリックして [Timing Constraints] ビューを開きます 図 4 : タイミング制約の定義 [Timing Constraints] ビューの左側には さまざまな制約タイプがツリー構造で表示されています これはタイミング制約のメニューで 簡単に定義できます [Timing Constraints] ビューの右側の表には wbclk と bftclk という 2 つのクロック制約が定義されていることに注目してください 現在定義されている制約の値は この表をクリックして直接変更できます 図 4 にあるように [Timing Constraints] ビューの左側にある [Clocks] の下にある [Create Clock] をダブルクリックします 注記 : [Clocks] の横にあるプラス記号 (+) をクリックすると このカテゴリが展開表示されます デザインフローの概要 12

13 手順 5 : タイミング制約と I/O 配置の定義 [Create Clock] ダイアログボックスが開き クロック制約を定義できます 画面下部の Tcl コマンド部分には 実行される XDC コマンドが表示されます 現段階では タイミング制約は作成または変更しないでください 図 5 : [Create Clock] ダイアログボックス 4. [Cancel] をクリックします ビュータブの X マークをクリックして [Timing Constraints] ビューを閉じます Vivado Design Suite には デザイン解析および制約設定に関するさまざまな機能があります これらの機能についての詳細は その他のチュートリアルを参照してください 機能の一部を [Tools] メニューで確認します I/O プランニング Vivado は I/O ピン割り当てを実行および検証する包括的な機能を備えています これについては I/O プランニング用チュートリアルを参照してください 図 6 にあるように メインツールバーのレイアウトセレクターから [I/O Planning] を選択します [Package] ビューをアクティブウィンドウにします 注記 : [Package] ビューが開いていない場合は メインウィンドウで [Window] [Package] をクリックします デザインフローの概要 13

14 手順 5 : タイミング制約と I/O 配置の定義 [Package] ビューで配置済み I/O ポートを選択します これはパッケージピン内でオレンジ色のブロックとして表示されています 選択した I/O ポートを同じ I/O バンク内の別のピンサイトにドラッグします [I/O Ports] ビューでポート名およびパッケージピンサイトを確認します [I/O Port Properties] ビューに表示されるデータを確認します ビューの一番下のタブをそれぞれクリックします 移動させたポートの名前とサイトを覚えておきます インプリメンテーションの後で XDC ファイルでこのポートの LOC 制約を検索するので この情報は控えておいたほうがよいでしょう 図 6 : [I/O Planning] ビューレイアウト デザインフローの概要 14

15 手順 6 : 変更した制約のエクスポート 手順 6 : 変更した制約のエクスポート 変更した制約は後で使用するために出力しておくことができます また 最新の変更を含むデザインチェックポイントを保存することもできます デザインチェックポイントについては このチュートリアルの後半で説明します 重要 : Vivado Design Suite では NCF/UCF 制約はサポートされていません 既存の UCF 制約は XDC 制約に変換する必要があります 詳細は Vivado Design Suite 移行手法ガイド (UG911) を参照してください 新しい I/O LOC 制約値を含む 変更された XDC 制約ファイルを出力するには [Export Constraints] コマンドを使用します [File] [Export] [Export Constraints] をクリック [Export Constraints] ダイアログボックスが開き 作成するファイルの名前を指定できます 図 7 : [Export Constraints] コマンド ファイルの名前とディレクトリを入力し [OK] をクリックします [Export fixed location constraints only] チェックボックスに注目してください これがオンになっていると 配置されたセルすべてではなく 固定セルの LOC 制約のみがエクスポートされます 固定セルと固定されていないセルの詳細については Vivado Design Suite ユーザーガイド : デザイン解析およびクロージャテクニック (UG906) を参照してください テキストエディターで制約ファイルを開くには [File] [Open File] をクリックします [Open File] ダイアログボックスの一番下の [File of type] フィルターで [All Files] を選択します 新しくエクスポートした制約ファイルを選択して [OK] をクリックします このファイルに変更した I/O ポートの配置が含まれているのがわかります ASCII ファイルはすべてテキストエディターで開くことができるため Tcl スクリプト 制約ファイルを編集したり レポートを表示しやすくなっています テキストエディターはコンテキストセンシティブで Verilog VHDL Tcl といったファイルの種類を表示すると キーワードやコメントがハイライトされます IDE 画面下にある [Tcl Cosole] タブをクリックして stop_gui コマンドを入力します デザインフローの概要 15

16 手順 7 : デザインのインプリメンテーション Vivado IDE が閉じて Tcl シェルの Tcl プロンプトに戻ります 手順 7 : デザインのインプリメンテーション run_bft_batch.tcl スクリプトを開きます 既に開いている場合は前面に表示します opt_design から write_bitstream へと順にスクリプトの Tcl コマンドをそれぞれコピーして貼り付けます opt_design place_design write_checkpoint -force $outputdir/post_place report_timing_summary -file $outputdir/post_place_timing_summary.rpt route_design write_checkpoint -force $outputdir/post_route report_timing_summary -file $outputdir/post_route_timing_summary.rpt report_timing -sort_by group -max_paths 100 -path_type summary -file $outputdir/post_route_timing.rpt report_clock_utilization -file $outputdir/clock_util.rpt report_utilization -file $outputdir/post_route_util.rpt report_power -file $outputdir/post_route_power.rpt report_drc -file $outputdir/post_imp_drc.rpt write_verilog -force $outputdir/bft_impl_netlist.v write_xdc -no_fixed_only -force $outputdir/bft_impl.xdc write_bitstream -force $outputdir/bft.bit それぞれのコマンドをチェックし 実行されたときにどのようなメッセージが表示されるか確認します 次の出力ディレクトリで作成されたファイルを確認します <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data/bft_output テキストエディターを使用して作成されたさまざまなレポートファイル (*.rpt) を確認します bft_impl.xdc ファイルを開きます 変更した I/O ポート制約を使用してデザインがインプリメントされたことを確認します デザインフローの概要 16

17 手順 8 : デザインチェックポイントを開く 手順 8 : デザインチェックポイントを開く Vivado IDE では 保存したデザインチェックポイントを開くことができます これはデザインのスナップショットで Vivado IDE または Tcl シェルで開いて合成 インプリメンテーション 解析に使用できます 4. start_gui で Vivado IDE を再び開きます メモリにあるアクティブデザインが Vivado IDE に読み込まれます インプリメントされたデザインチェックポイントが読み込まれ 現在のメモリ内のデザインは閉じます インプリメントされたチェックポイントを開きます [File] [Open Checkpoint] をクリックして チェックポイントファイルを選択します <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data/bft_output/ post_route.dcp [Yes] をクリックして メモリ内のデザインを閉じます プロンプトが表示されたら [Close Without Saving] を選択します これで配置配線されたデザインチェックポイントを基に Vivado IDE の表示および解析機能を使用できるようになりました 手順 9 : インプリメンテーション結果の解析 Vivado にはさまざまな観点からデザインおよびデバイスデータを確認する拡張機能が含まれ 消費電力 タイミング リソース使用率 クロックなどの標準レポートを生成することができます Tcl API を使用した Vivado ツールのカスタムレポート機能は豊富です report_timing_summary を実行し 配置後のタイミングデータを解析します [Tools] [Timing] [Report Timing] をクリック [Report Timing Summary] ダイアログボックスで [OK] をクリックして デフォルトの run オプションを使用します [Timing Summary] ビューに表示される情報を確認します [Timing Summary] ビューの左側のツリー表示からさまざまなカテゴリを選択して 表示されるデータを確認してみてください report_timing コマンドでタイミング解析を実行します [Tools] [Timing] [Report Timing] をクリック [Report Timing] ダイアログボックスで [OK] をクリックして デフォルトの run オプションを使用します [Timing Result] ビューの最初にリストされるパスを選択します [Path Properties] ビューを最大化するかフロートさせて パスの詳細を確認します デザインフローの概要 17

18 手順 9 : インプリメンテーション結果の解析 図 8 : 最大化した [Path Properties] ビュー 7. ビュー上部にある [Restore] または [Dock] ボタンをクリックし [Path Properties] ビューを元に戻します 8. [Timing Result] のビューで右クリックし ポップアップメニューから [Schematic] をクリックして 選択されているパスの回路図を開きます 注記 : [Schematic] ビューは F4 キーを押しても開くことができます 9. 回路図上の接続を展開表示させたり デザイン階層を確認するには セル ピン ワイヤなど回路図オブジェクトをダブルクリックします 10. [Schematic] ビューを閉じるか [Device] ビューのタブをクリックして前面に表示します 1 [Device] ビューで [Routing Resources] ボタンをクリックして詳細なデバイス配線を表示します デザインフローの概要 18

19 手順 9 : インプリメンテーション結果の解析 図 9 : デバイス配線の表示 [Device] ビューには 選択したパスの配線がハイライトされて表示されます 1 [Device] ビューで [Auto Fit Selection] ボタンをクリックし 選択したオブジェクトが自動的にフィットするようにします 図 10 : デバイス配線の表示 1 [Timing Result] ビューからその他のパスをいくつか選択します 14. 選択したパスの配線を [Device] ビューで確認します 15. [Tools] メニューをクリックし [Timing] や [Report] などのタブにある解析機能を確認します 16. [Report Power] [Report Clock Interaction] [Report Clock Networks] [Report Utilization] など 利用可能なコマンドの一部を実行します これらのデザイン解析機能はその他の Vivado のチュートリアルで説明されています デザインフローの概要 19

20 手順 10 : Vivado ツールの終了 手順 10 : Vivado ツールの終了 Vivado ツールでは vivado.log というログファイルと vivado.jou というジャーナルファイルが Vivado が起動されたディレクトリに書き込まれます このログファイルはデザインセッション中に実行された Tcl コマンド これらのコマンドを実行して返されたメッセージの記録です ジャーナルファイルにはセッション中に実行された Tcl コマンドが記録され これを基に新しい Tcl スクリプトを作成できます Vivado IDE を終了します [Tcl Console] ビュータブを選択して stop_gui と入力します Vivado を終了させます Vivado% exit Vivado ツールのログファイル (vivado.log) を確認します Windows の場合は このログファイルを検索して開くのにファイルブラウザーを使用したほうが簡単かもしれません この Vivado ログとジャーナルファイルのディレクトリは Vivado ツールが起動されたディレクトリです または Windows のデスクトップアイコンにこの 2 つのファイルのディレクトリを設定しておくと便利です この設定は演習 2 で行います この演習では 次のディレクトリにあるログファイルを検索します <Extract_Dir>/Vivado_Tutorial/vivado.log 1 ログファイルには Vivado のセッション中に実行された Tcl コマンドの履歴と結果がすべて含まれます 4. Vivado のジャーナルファイル (vivado.jou) を確認します Windows の場合は ファイルブラウザーを使用した方が確認しやすいでしょう この演習では 次のディレクトリにあるジャーナルファイルを検索します <Extract_Dir>/Vivado_Tutorial/vivado.jou ジャーナルファイルには Vivado のセッション中に実行された Tcl コマンドのみが含まれます ログファイルにあるような詳細は記録されません ジャーナルファイルは 前のデザインセッションから Tcl スクリプトを作成する際に使用すると便利です これについては 次の演習で説明します 1 vivado.log も %APPDATA%\Xilinx\vivado または /home ディレクトリに書き込まれます デザインフローの概要 20

21 手順 1 : プロジェクトの作成 演習 2 : プロジェクトデザインフローの使用 この演習では プロジェクトの作成 ソースファイルの管理 デザイン解析 制約定義 合成およびインプリメンテーションの run の管理などのプロジェクトモード機能について説明します まず Vivado IDE でサンプルデザインを使用して FPGA デザインフロー全体について説明し IDE の主な機能をいくつか確認します これらの機能については 別のチュートリアルで説明しています 最後に バッチ run スクリプトを作成して デザインプロジェクトをインプリメントし Tcl スクリプトの実行と Vivado IDE での作業とを切り替えます この切り替え作業は非常に簡単です 手順 1 : プロジェクトの作成 Vivado の起動 Linux の場合 : 演習資料を保存したディレクトリに移動します cd <Extract_Dir>/Vivado_Tutorial Vivado IDE を起動します vivado Windows の場合は Vivado ツールを起動させるためデスクトップアイコンをクリックする前に vivado.log および vivado.jou ファイルのある場所を指定するようアイコンを設定します Vivado 2012 用のデスクトップアイコンを右クリックし ポップアップメニューから [Properties] を選択します [ ショートカット ] タブで [ 作業フォルダー ] の値に抽出したチュートリアルのディレクトリを指定します ( 図 11 を参照 ) <Extract_Dir>/Vivado_Tutorial/ [OK] をクリックしてダイアログボックスを閉じます デザインフローの概要 21

22 手順 1 : プロジェクトの作成 図 11 : 開始ディレクトリの設定 4. Vivado 2012 のデスクトップアイコンをダブルクリックして Vivado IDE を起動します 新規プロジェクトの作成 Vivado IDE が開いたら Getting Started ページで [Create New Project] をクリックします [New Project] ページで [Next] をクリックします プロジェクト名とディレクトリを指定します [Project name] : project_bft [Project location] : <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data [Next] をクリックします デザインフローの概要 22

23 手順 1 : プロジェクトの作成 図 12 : [Create New Project] 7. プロジェクトタイプは [RTL Project] をオンにして [Next] をクリックします 8. [Add Files] をクリックします a. <Extract_Dir>/Vivado_Tutorial/Sources/hdl/ を参照します b. Ctrl キーを押しながら次のファイルを選択します async_fifo.v bft.vhdl bft_tb.v FifoBuffer.v c. [OK] をクリックしてファイルブラウザーを閉じます 9. [Add Directories] をクリックします a. <Extract_Dir>/Vivado_Tutorial/Sources/hdl/bftLib ディレクトリを選択します b. [Select] をクリックします 10. [HDL Sources for] の列で bft_tb.v をクリックし [Synthesis & Simulation] を [Simulation only] に変更します 1 図 13 にあるように [Library] の列で bftlib をクリックし 値を [work] から [bftlib] に変更します デザインフローの概要 23

24 手順 1 : プロジェクトの作成 図 13 : RTL ソースの追加 1 [Copy sources into project] および [Add sources from subdirectories] のチェックボックスをオンにします 1 [Target Language] を [Verilog] に設定します 14. [Next] をクリックします 15. この段階では IP を追加しないので [Next] をクリックして [Add Existing IP] ページを飛ばします 16. [Add Constraints] ページで [Add Files] をクリックします a. <Extract_Dir>/Vivado_Tutorial/Sources/bft_full.xdc を選択します b. [OK] をクリックしてファイルブラウザーを閉じます 17. [Copy constraints files into project] はオンにします 18. [Next] をクリックして [Default Part] ページに移動します デザインフローの概要 24

25 手順 1 : プロジェクトの作成 図 14 : 制約の追加 19. [Default Part] ページの [Family] フィルターで [Kintex-7] を選択します 20. リストの上までスクロールして xc7k70tfbg484-2 パーツを選択し [Next] をクリックします 2 [Finish] をクリックして [New Project Summary] ページを閉じると プロジェクトが作成されます 図 15 : デフォルトデバイスの選択 デザインフローの概要 25

26 手順 2 : [Sources] ビューとテキストエディターの使用 Vivado IDE が開き デフォルトのレイアウトで project_bft が開きます 図 16 : Vivado IDE で開いたプロジェクト BFT 手順 2 : [Sources] ビューとテキストエディターの使用 Vivado ツールには Verilog VHDL EDIF NGC 形式のコア SDC XDC TCL の制約ファイル そしてシミュレーションテストベンチなど 異なるデザインソースを追加できます これらのファイルは [Sources] ビューの下にある [Hierarchy] [Library] [Compile Order] を使用してソートすることができます Vivado IDE にはコンテキストセンシティブなテキストエディターが含まれていて RTL ソース 制約ファイル Tcl スクリプトを作成したりできます Vivado IDE を設定してサードパーティのテキストエディターを使用することもできます Vivato ツールの設定方法については Vivado Design Suite ユーザーガイド : IDE の使用 (UG893) を参照してください デザインフローの概要 26

27 手順 2 : [Sources] ビューとテキストエディターの使用 [Sources] ビューとプロジェクトサマリの確認 [Project Summary] の情報を確認します デザインフロー全体で各デザインプロセスが終了するたびに詳細な情報が表示されます [Sources] ビューの [Design Sources] [Constraints] および [Simulation Sources] フォルダーを展開して確認します 図 17 : ソースの表示 [Design Sources] フォルダーからは VHDL Verilog ソースファイルおよびライブラリの情報が確認できます デフォルトでは [Hierarchy] タブが表示されています 4. [Libraries] タブおよび [Compile Order] タブをクリックすると ソースファイルのリスト方法が違うことがわかります [Libraries] タブはファイルの種類別にソースファイルが分けられています [Compile Order] タブには合成で使用されるファイルの順序が表示されています [Hierarchy] タブをクリックします テキストエディターの使用 [Sources] ビューで VHDL ソースの 1 つを選択します 右クリックし ポップアップメニューでどういうコマンドが表示されるか確認してみてください [Open File] をクリックし テキストエディターでスクロールしてファイルの内容を確認してみます デザインフローの概要 27

28 手順 2 : [Sources] ビューとテキストエディターの使用 [Sources] ビューでソースファイルをダブルクリックしても テキストエディターで表示することができます 図 18 : コンテキストセンシティブなテキストエディター テキストエディターで表示される RTL コードは キーワードやコメントが色表示されていていることに注目してください 予約されている単語の表示に使用される色やフォントは [Tools] [Options] コマンドを使用して設定できます Vivato ツールの設定方法については Vivado Design Suite ユーザーガイド : IDE の使用 (UG893) を参照してください 4. テキストエディターで右クリックし [Find in Files] をクリックします [Replace in Files] コマンドも実行できます さまざまな検索オプションを含む [Find in Files] ダイアログボックスが表示されます 図 19 : [Find in Files] の使用 デザインフローの概要 28

29 手順 3 : RTL デザインのエラボレーション 5. [Find what] フィールドに clk と入力し [Find] をクリックします [Find in Files] ビューが Vivado IDE の下部にあるメッセージエリアに表示されます 図 20 : 検出結果の表示 [Find in Files] ビューで表示されたファイルの 1 つを展開し ファイル内の [clk] をクリックします 選択したファイルがテキストエディターで開き そのファイルに含まれる clk がテキストエディターでハイライトされます [Find in Files] ビューを閉じます テキストエディターを閉じます 次の手順では 合成を実行する前に使用できるデザインコンフィギュレーションおよび解析機能についていくつか説明します 手順 3 : RTL デザインのエラボレーション Vivado IDE には RTL 解析および IP カスタマイズ環境も含まれています RTL デザインのパフォーマンスや消費電力を改善するための RTL DRC ( デザインルールチェック ) も複数含まれています 4. Flow Navigator で [Open Elaborated Design] をクリックしてデザインをエラボレートします メインツールバーのレイアウトセレクターから [Default Layout] を選択します [Open Elaborated Design] をクリックすると RTL ネットリスト 回路図 グラフィカルな階層を含むさまざまな階層ビューが表示されます ビュー同士は連動していて あるビューで選択したものは別のビューでも選択されるため すばやく RTL をデバッグおよび最適化できます [RTL Netlist] ビューでロジック階層を確認し 回路図が表示されていない場合は右クリックで [Schematic] をクリックして回路図を表示します [RTL Schematic] ビューでロジックインスタンスを選択し 右クリックで [Go to Source] または [Go to Definition] をクリックします デザインフローの概要 29

30 手順 4 : IP カタログの使用 選択したセルのロジックがハイライトされた状態で RTL ソースファイルがテキストエディターで開きます [Go to Definition] コマンドの場合は モジュール定義を含む RTL ソースが開きます [Go to Source] をクリックすると 選択したセルのインスタンスを含む RTL ソースが開きます Vivado IDE の一番下の [Messages] ビューをクリックして メッセージを確認します [Messages] ビューのツールバーで [Collapse All] ボタンをクリックします [Elaborated Design] メッセージを展開します メッセージに含まれるリンクをクリックすると メッセージに関する RTL ソースファイルが開きます リンクの 1 つをクリックします 関連した行がハイライトされた状態でテキストエディターに RTL ソースファイルが開きます テキストエディターを閉じます 10. ビューの右上の X マークをクリックしてエラボレート済みデザインを閉じます 手順 4 : IP カタログの使用 ザイリンクス IP カタログから IP コンフィギュレーションおよび生成機能を利用できます カタログはさまざまな方法でソートおよび検索できます IP はカスタマイズ 生成 インスタンシエートできます Flow Navigator で [IP Catalog] をクリックします IP カタログに表示されるカテゴリーやフィルター機能を確認します [Basic Elements] フォルダーの [Counters] フォルダーを展開します [DSP48 Macro] をダブルクリックします [Customize IP] ダイアログボックスが Vivado 内に直接開きます Vivado Design Suite ツール内で IP のカスタマイズおよびコンフィギュレーションができます IP コンフィギュレーションおよびインプリメンテーションの詳細については Vivado Design Suite: IP を使用した設計 (UG896) および Vivado Design Suite チュートリアル : IP を使用した設計 (UG939) を参照してください [Cancel] をクリックして 現在のデザインには IP を追加せずに [Customize IP] ダイアログボックスを閉じます X マークをクリックして IP カタログを閉じます デザインフローの概要 30

31 手順 5 : ビヘイビアーシミュレーションの実行 手順 5 : ビヘイビアーシミュレーションの実行 Vivado IDE には Vivado シミュレータが含まれており プロジェクトのシミュレーションソースを追加および管理できます ユーザーは シミュレーションオプションを設定し シミュレーションソースセットを作成 / 管理できるほか 合成前に RTL ソースのビヘイビアーシミュレーションを実行できます Flow Navigator の [Simulation] の下にある [Simulation Settings] をクリックします 図 21 : [Simulation] ページ [Compliation] [Simulation] [Netlist] [Advanced] の各タブをクリックして設定可能なものを確認します [Cancel] をクリックします Flow Navigator で [Simulation] をクリックし [Run Behavioral Simulation] をクリックします [Simulation] の各設定を確認します シミュレーションについては Vivado Design Suite ユーザーガイド : ロジックシミュレーション UG900) および Vivado Design Suite チュートリアル : ロジックシミュレーション (UG937) を参照してください シミュレーション画面を X マークをクリックして閉じます デザインフローの概要 31

32 手順 6 : デザイン run の設定の確認 7. 変更を保存するかどうか尋ねるメッセージが表示されたら [No] をクリックします 手順 6 : デザイン run の設定の確認 演習 1 で使用した非プロジェクトモードと この演習で使用するプロジェクトモードとの大きな違いの 1 つに 合成およびインプリメンテーションのデザイン run のサポートがあります 非プロジェクトモードではデザイン run はサポートされていません デザイン run とは 合成およびインプリメンテーションプロセスの様々なステップで利用可能な多くのオプションを設定および保存する方法です オプションを設定し 今後の run で使用するためのストラテジとしてコンフィギュレーションを保存することができます また Tcl.pre および Tcl.post スクリプトを定義して プロセスの各ステップの前後で実行し デザインプロセスの前後でレポートを生成することもできます 合成およびインプリメンテーション run を実行する前に これらの設定およびストラテジを確認します Flow Navigator で [Synthesis] をクリックしします [Project Settings] ダイアログボックスが開きます Vivado 合成のオプションをここで設定することができます 合成オプションについての詳細は Vivado Design Suite ユーザーガイド : 合成 (UG901) を参照してください デザインフローの概要 32

33 手順 6 : デザイン run の設定の確認 図 22 : 合成の設定 合成オプションを確認したら [Project Settings] ダイアログボックスの左側の [Implementation] をクリックします ( 図 22) [Project Settings] ダイアログボックスの表示がインプリメンテーション用に切り替わります この演習ではインプリメンテーションのオプションは変更しませんが インプリメンテーション run のオプションを確認をします 合成オプションについての詳細は Vivado Design Suite ユーザーガイド : インプリメンテーション (UG904) を参照してください デザインフローの概要 33

34 手順 6 : デザイン run の設定の確認 図 23 : インプリメンテーションの設定 [Cancel] をクリックして [Project Settings] ダイアログボックスを閉じます これで Vivado 合成およびインプリメンテーションの実行準備ができました デザインフローの概要 34

35 手順 7 : デザインの合成およびインプリメンテーション 手順 7 : デザインの合成およびインプリメンテーション 合成およびインプリメンテーション run のオプションを設定すると 次のことが実行できるようになります [Run Synthesis] で合成のみを実行 [Run Implementation] で合成が実行されていない場合はまず合成を実行してから インプリメンテーションを実行 [Generate Bitstream] で 合成が実行されていない場合はまず合成を実行してからインプリメンテーションを実行し ザイリンクスデバイスをプログラムするためのビットストリームを出力 このチュートリアルでは これらの手順をひとつずつ実行します Flow Navigator で [Run Synthesis] をクリックして 終了するまで待ちます 進捗状況は Vivado IDE の右上のバーに表示されます ツールでほかの作業ができるように Vivado の合成エンジンがバックグラウンドで実行されます 合成プロセスがバックグランドで実行している間 Vivado IDE のビューの確認 レポートの実行 デザインの評価などの作業を引き続き行うことができます Vivado IDE の一番下の [Log] ビューに合成ログが表示されます これは [Reports] ビューにも表示されます 合成が終了したら 次のステップを尋ねるメッセージが表示されます 図 24 : [Synthesis Completed] ダイアログボックス [Run Implementation] を選択し [OK] をクリックします インプリメンテーションプロセスが起動しますが ある程度の初期化が実行された後にこのプロセスはバックグランドで実行されます このチュートリアルの次の手順では インプリメンテーションの終了を待つ間に 合成されたデザインのデザイン解析を実行します デザインフローの概要 35

36 手順 8 : 合成済みデザインの解析 手順 8 : 合成済みデザインの解析 合成済みデザインを開いて デザイン解析 タイミング制約定義 I/O 配置 フロアプラン デバッグコアの挿入などを実行します これらの機能についてはほかのチュートリアルで説明されていますが この手順でも簡単に説明します Flow Navigator で [Open Synthesized Design] をクリックし デザインが読み込まれるのを待ちます Vivado IDE で合成済みデザインを開いても インプリメンテーションはまだバックグラウンドで続行されています 合成済みデザインを確認している間にインプリメンテーションが完了し 次のステップを選択する [Implementation Completed] ダイアログボックスが開きます 図 25 : [Implementation Completed] ダイアログボックス 何も選択せずに [Cancel] をクリックしてダイアログボックスを閉じます 合成済みデザインは開いたままの状態です インプリメント済みデザインは合成済みデザインの機能を確認してから開きます メインツールバーのレイアウトセレクターから [Default Layout] を選択します Vivado IDE の下部にある [Reports] ビュータブをクリックします [Reports] ビューが開かない場合は [Window] [Report] をクリックして開きます [Vivado Synthesis Report] をダブルクリックしてレポートを確認します [Utilization Report] をダブルクリックしてレポートを確認します 確認を終えたらすべてのレポートを閉じます Vivado IDE の一番下の [Messages] ビュータブをクリックします [Messages] ビューが開かない場合は [Window] [Messages] をクリックして開きます [Messages] ビューの上部には エラー クリティカル警告 警告 情報 ステータスといった種類別にメッセージを表示したり非表示にすることができるフィルター機能があります [Collapse All] ボタンをクリックし 展開されたメッセージをすべて閉じます デザインフローの概要 36

37 手順 8 : 合成済みデザインの解析 10. [Synthesis] メッセージを展開表示します 1 合成メッセージをスクロールすると ソースファイル内の特定の行へのリンクがあります リンクをいくつかクリックしてみると テキストエディターが開き そのソースファイルの該当行がハイライトされた状態でソースファイルが開きます 図 26 : ソースファイルにリンクされている合成メッセージ 1 Flow Navigator で [Synthesis] [Synthesized Design] [Report Timing Summary] をクリックします [Report Timing Summary] ダイアログボックスが開きます ダイアログボックス内のフィールドやオプションを確認します 1 デフォルトの設定のまま [OK] をクリックします [Timing Summary] ビューが開きます デザインフローの概要 37

38 手順 8 : 合成済みデザインの解析 図 27 : タイミングサマリレポート インプリメンテーション前のタイミング概算を表示する [Timing Summary] ビューが表示されます ビューの左側のツリー表示のカテゴリをいくつかクリックしてみます 14. Flow Navigator で [Report Power] をクリックします [Report Power] ダイアログボックスが開きます ダイアログボックス内のフィールドやオプションを確認します 15. デフォルトの設定のまま [OK] をクリックします [Power Results] ビューが開きます インプリメンテーション前の消費電力概算を表示する [Power Results] ビューが表示されます このレポートはダイナミックで マウスでレポートの特定セクションをポイントすると その箇所の詳細が図 28 のように表示されます ビューの左側のツリー表示のカテゴリをいくつかクリックしてみます 図 28 : 消費電力レポート デザインフローの概要 38

39 手順 9 : インプリメント済みデザインの解析 16. [Timing Summary] および [Power Results] ビューを閉じ またテキストエディターのウィンドウが開いていればそれも閉じます 手順 9 : インプリメント済みデザインの解析 Vivado IDE ではインタラクティブにメモリにあるデザイン上でデザイン制約およびネットリストを変更することができます デザインを保存すると 制約の変更が元のソースの XDC ファイルにも書き込まれます または 変更を新しい制約ファイルに保存して 元の制約を保持することもできます これにより 元のソースファイルを変更することなく フロアプランも含め さまざまなタイミングおよび物理制約を試すことができます インプリメント済みデザインを開く Flow Navigator で [Open Implemented Design] をクリックします [Yes] をクリックして合成済みデザインを閉じ 保存するかどうか尋ねるメッセージが表示されたら [Don't Save] をクリックします インプリメント済みデザインを読み込むと そのインプリメンテーション結果が [Device] ビューに表示されます Vivado IDE の下部にある [Reports] ビュータブをクリックします [Reports] ビューが開かない場合は [Window] [Report] をクリックして開きます 配置配線からのレポートをいくつか選択して確認します 確認したら 各レポートを閉じます Vivado IDE の一番下の [Messages] ビュータブをクリックします [Reports] ビューが開かない場合は [Window] [Report] をクリックして開きます [Collapse All] ボタンをクリックし 展開されたメッセージをすべて閉じます [Implementation] フォルダーを展開表示します [Design Initialization] [Opt Design] [Place Design] [Route_Design] のメッセージを確認します 配線の解析 デザインが配置配線されたら タイミングレポートを生成してすべてのタイミング制約が満たされたかどうかを検証します [Timing Report] ビューでパスを選択して [Device] ビューでその配線されたパスを確認します タイミングに問題がある場合は RTL ソースファイルまたはデザイン制約を確認して 問題を解決します [Device] ビューで [Routing Resources] ボタンをクリックしてデバイス配線を表示します [Device] ビューに配線された接続が表示されます 配線のエレメントを表示するにはデバイスを拡大表示し 配線を全体的に確認するには縮小表示にします デザインフローの概要 39

40 手順 9 : インプリメント済みデザインの解析 図 29 : [Routing Resources] ボタン [Device] ビューで [Auto Fit Selection] ボタンをクリックすると 選択したオブジェクトが自動的に画面にフィットした状態で中央に表示されます Flow Navigator で [Implemented Design] [Report Timing Summary] をクリックします [Report Summary Timing] ダイアログボックスで [OK] をクリックしてデフォルトのレポートを生成します [Timing Summary] ビューの左側で [Intra-Clock Paths] [bftclk] [HOLD] をクリックします 表形式のレポートの右端にあるパスをクリックすると それが [Device] ビューで選択されてハイライトされます さまざまなパスをクリックして パス配線を確認してください [Timing Summary] ビューの左側で [Intra-Clock Paths] [bftclk] [HOLD] をクリックします 表形式のレポートの右端にあるパスをクリックすると それが [Device] ビューで選択されてハイライトされます さまざまなパスをクリックして パス配線を確認してください デザインフローの概要 40

41 手順 9 : インプリメント済みデザインの解析 図 30 : タイミングパスの配線の確認 [Device] ビューまたは [Timing Summary] ビューでタイミングパスを選択した状態で右クリックし [Schematic] をクリックします 注記 : [Schematic] ビューは F4 キーを押しても開くことができます [Schematic] ビューが開き 選択したタイミングパスの回路図が表示されます [Expand] [Collapse Outside] [Expand Cone] などの [Schematic] ビューのポップアップメニューのコマンドを使用し 回路図を表示形式を変更してタイミングパス上のロジックセルを確認します [Schematic] ビューを閉じます [Device] ビューで [Routing Resources] ツールバーアイコンを再びクリックし 配線リソースの表示をオフにします これで [Device] ビューには配置されたインスタンスだけが表示され 配線された接続の詳細は表示されなくなります デザインフローの概要 41

42 手順 11 : ビットストリームファイルの生成 手順 11 : ビットストリームファイルの生成 XDC 制約ファイルには すべての I/O ポートに対する LOC および IOSTANDARD 制約が含まれるので ビットストリームを生成できます [Write Bitstream] を実行する前に このコマンドの設定を確認します Flow Navigator で [Program and Debug] の下の [Bitstream Settings] をクリックします [Project Settings] ダイアログボックスが開きます write_bitstream コマンドのオプションをここで設定できます これらのオプションの説明や使用方法については Vivado Design Suite ユーザーガイド : プログラムおよびデバッグ (UG908) を参照してください 図 31 : ビットストリームの設定 4. [Cancel] をクリックして [Project Settings] ダイアログボックスを閉じます Flow Navigator の [Program and Debug] の下にある [Generate Bitstream] ボタンをクリックします ビットストリームが生成されたら [Bitstream Generation Completed] ダイアログボックスが開くので [OK] をクリックしてレポートを表示します 手順 12 : Vivado の終了 Vivado では次の 2 つのファイルが作成されます デザインフローの概要 42

43 手順 13 : ジャーナルファイルからの Tcl スクリプトの作成 Vivado ツールのログファイル (vivado.log) : Vivado のセッション中に実行された Tcl コマンドの履歴と結果がすべて含まれます Vivado ツールのジャーナルファイル (vivado.jou) : Vivado のセッション中に実行された Tcl コマンドのみが含まれます その他の詳細は ログファイルに記録されます これらのファイルから さまざまなデザインタスクを実行するために Vivado ツールで使用された Tcl コマンドを学ぶことができます Vivado のジャーナルファイルも新しく Tcl スクリプトを作成するにあたり参考にすると便利です 完了したデザインフローの vivado.jou を使用すると デザインを完成させるために使用された Tcl コマンドがすべて確認できます すべての Tcl コマンドおよびそのオプションの詳細は Vivado Design Suite Tcl コマンドリファレンスガイド (UG835) を参照してください 4. [File] [Exit] をクリックするか Tcl コマンドラインで exit と入力します [OK] をクリックして Vivado ツールを閉じます Vivado のログファイル (vivado.log) を確認します Windows の場合は ファイルブラウザーを使用した方が確認しやすいでしょう <Extract_Dir>/Vivado_Tutorial/vivado.log 注記 : これは 演習 2 の手順 1 の [ 作業フォルダー ] で設定したディレクトリです 内容を確認したら ファイルを閉じます 手順 13 : ジャーナルファイルからの Tcl スクリプトの作成 バッチモードで実行すると Vivado IDE で実行するよりも高速で メモリ使用量も少なくて済みます デザインを完成させるのに複数 run が必要な場合は Tcl スクリプトを使用してフローを自動化することをお勧めします また 主なステップの後にレポート生成コマンドをスクリプトに追加し 特定ファイルおよびディレクトリにレポートが出力されるように設定することもできます ジャーナルの確認 演習の手順 1 ~ 12 に沿って作業を行ったので Vivado ツールで自動的にジャーナルファイルが作成されました ここではこのファイルから Tcl スクリプトを手動で作成します 新しいスクリプトを実行すると Vivado IDE を使用してこれまでの手順に沿って作成したように プロジェクトファイル (.xpr) およびディレクトリ構造が作成されます このプロジェクトを Vivado IDE に読み込むと 予測通りの結果およびプロジェクトステータスが表示されます テキストエディターで vivado.jou を開きます Vivado のジャーナルファイル (vivado.jou) を確認します Windows の場合は ファイルブラウザーを使用した方が確認しやすいでしょう <Extract_Dir>/Vivado_Tutorial/vivado.jou 次のように表示されるはずです デザインフローの概要 43

44 手順 13 : ジャーナルファイルからの Tcl スクリプトの作成 図 32 : 演習 2 の Vivado ジャーナルファイル 注記 : Linux を使用している場合は 表示されるパスは異なります コメント (# で始まる行 ) は不要なので削除します Tcl スクリプトで IDE は開きたくないので start_gui の行も削除します [Save As] コマンドでファイルを <Extract_Dir>/Vivado_Tutorial/run_bft.tcl に保存します run_bft.tcl スクリプトを開いた状態で project_bft を検索し project_bft_batch にすべて置換します このスクリプトを確認し 演習 1 で使用した非プロジェクトモードと このプロジェクトモードとの違いを確認します プロジェクトの作成および制約セットの設定に add_files および set_property コマンドが使用されていることに留意してください また synth_design などの代わりに launch_runs が使用されていることにも注目してください プロジェクトベースのデザインを作成または実行するときは launch_runs を使用します 注意 : synth_design や opt_design などの個々のコマンドを launch_runs と併用すると プロジェクトが破損する可能性があるため 推奨しません launch_runs コマンドには コマンドを個別に実行して カスタムレポートを作成する Tcl オプションがあります 詳細は Vivado Design Suite Tcl コマンドリファレンスガイド (UG835) を参照してください デザインフローの概要 44

45 手順 13 : ジャーナルファイルからの Tcl スクリプトの作成 バッチプロジェクトスクリプトの編集 1 回のデザインセッションでチュートリアルの演習 2 を終了しなかった場合は vivado.jou ファイルに手順 1 から 12 までのすべてのチュートリアルデザインフローが反映されていません この場合は Vivado_Tutorial ディレクトリにある run_bft_project.tcl スクリプトを使用できます run_bft.tcl スクリプトの行 1 から行 9 までのコードで デザインプロジェクトの作成 ターゲットザイリ ンクスパーツの指定 ソース RTL および XDC ファイルの追加 VHDL ライブラリの定義が行われます このスクリプトでは synth_1 と impl_1 の 2 つの run が作成され 制約セット constrs_1 が定義されます この後に続く数行では RTL デザインをエラボレートしてシミュレートします バッチフローではそれを実行する必要なありません スクリプトの次の行を削除するか # を行頭に追加してコメントにします #synth_design rtl name rtl_1 #close_design #launch_xsim -simset sim_1 -mode behavioral #close_sim これまでの手順で 合成およびインプリメンテーションを実行し インプリメンテーション実行中に合成されたデザインを解析しました バッチフローでは 合成をまず実行してから タイミングおよび消費電力レポートを作成し その後インプリメンテーションを実行します この作業を実行するには スクリプトの Tcl コマンドの順序を入れ替える必要があります open_run コマンドおよびそれに続く 3 行を切り取り wait_on_run コマンドの後に続くように移動します 次の行をカットします open_run synth_1 set_delay_model report_timing_summary report_power これらを wait_on_run synth_1 行の後に貼り付けます これでスクリプトにより合成が実行されるので それが完了するまで待ちます この後 インプリメンテーションが実行されるので それか完了するまで待ちます 最後に スクリプトにより write_bitstream が実行されるので それが完了するのを待ちします close_design 行がファイルにある場合は 削除します ファイルは 次のようになっているはずです デザインフローの概要 45

46 手順 13 : ジャーナルファイルからの Tcl スクリプトの作成 図 33 : 編集後の Tcl スクリプト バッチプロジェクトスクリプトの実行 これで バッチモードで Vivado ツールを実行して新しい Tcl スクリプトを実行できるようになりました このスクリプトを実行すると Tcl スクリプトに含まれるすべてのコマンドが実行され 終了すると Vivado ツールが終了します Windows の場合は コマンドプロンプトを開きます Linux の場合は 手順 3 に進みます ザイリンクスインストールディレクトリに移動し ザイリンクスツールのパスを設定するための settings3bat または settings64.bat を実行します cd <Vivado_install_area>/Vivado/2012 settings64 settings64.bat ファイルで使用しているコンピューターで Vivado ツールを実行するためのパスおよび環境変数が設定されます <Extract_Dir>/Vivado_Tutorial ディレクトリに移動し バッチモードで Vivado ツールを起動します cd <Extract_Dir>/Vivado_Tutorial vivado -mode batch -source run_bft.tcl 4. コマンドプロンプトに表示される Vivado ツールのログ出力を確認します launch_runs コマンドが使用されているので ツールのログに含まれる情報は少なくなっています レポートおよび run ステータスもプロジェクトにまとめられ run の終了後に使用できるようになります バッチモードで Vivado ツールを実行したので 起動したスクリプトが実行完了するとツールが終了します デザインフローの概要 46

47 手順 14 : デザインステータスの確認 5. このバッチスクリプトの実行結果を含む新しい vivado.jou ファイルを確認します このジャーナルファイルは これを作成した run_bft.tcl スクリプトのようになっているはずです 手順 14 : デザインステータスの確認 4. Vivado IDE を起動し 先ほど作成した BFT バッチプロジェクト (project_bft_batch.xpr) を開きます [ スタート ] [ すべてのプログラム ] [Xilinx Design Tools] [Vivado 2012] [Vivado 2012] をクリック または コマンドラインから Vivado IDE を起動することもできます > cd <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data > vivado mode gui Vivado IDE が起動します [File] [Open Project] をクリックしてプロジェクトを開き project_bft_batch を検索します Vivado IDE の右上のプロジェクトステータスバーには ビットストリームが生成された (write_bitstream が終了した ) ことが表示されます インプリメントされたデザインは Flow Navigator の [Open Implemented Design] をクリックすると表示できます 作業を終了したら Vivado ツールを終了します これでチュートリアルを終了します [File] [Exit] まとめ このチュートリアルでは次の点を学習しました プロジェクトモードと非プロジェクトモードの使用 Vivado IDE での RTL プロジェクトの作成 Vivado 合成 シミュレーション インプリメンテーションツールの設定 Vivado シミュレータ 合成 インプリメンテーションの実行 合成後のデザインへの制約の適用 タイミングおよび消費電力レポートの生成 [Device] ビューでの配線結果の確認 ビットストリームファイルの生成 デザインフローの概要 47

48 まとめ ジャーナルファイル (.jou) を使用したプロジェクトベースの Tcl スクリプトの作成 コマンドラインからのプロジェクトベースの Tcl スクリプトの起動 Vivado Design Suite Tcl シェルと Vivado IDE の切り替え デザインフローの概要 48

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747)

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747) デザインの保持チュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

Vivado Design Suite チュートリアル : 制約の使用

Vivado Design Suite チュートリアル : 制約の使用 Vivado Design Suite チュートリアル 制約の使用 Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.to the maximum extent

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

RTL デザインおよび IP の生成チュートリアル : PlanAhead デザイン ツール (UG675)

RTL デザインおよび IP の生成チュートリアル : PlanAhead デザイン ツール (UG675) 生成チュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with Xilinx

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

Vivado Design Suite ユーザー ガイド : システム レベル デザイン入力 (UG895)

Vivado Design Suite ユーザー ガイド : システム レベル デザイン入力 (UG895) Vivado Design Suite ユーザーガイド システムレベルデザイン入力 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.to the maximum

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 XCN12011 (v1.0) 2012 年 12 月 3 日 製造中止製品の通知 : CPLD コンフィギュレーション PROM Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 製造中止製品の通知 概要 この通知は一部の SCD (Specification Control Document) 製品が製造中止となることをお知らせするものです これらの SCD

More information

Vivado Design Suite ユーザー ガイド : インプリメンテーション (UG904)

Vivado Design Suite ユーザー ガイド : インプリメンテーション (UG904) Vivado Design Suite ユーザーガイド インプリメンテーション Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.to the maximum extent

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

Xilinx Vivado Design Suite ユーザー ガイド : システム レベル デザイン入力 (UG895)

Xilinx Vivado Design Suite ユーザー ガイド : システム レベル デザイン入力  (UG895) Vivado Design Suite ユーザーガイド システムレベルデザイン入力 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.to the maximum

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換(FFT) のシミュレーションの高速化

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換(FFT) のシミュレーションの高速化 ISim ハードウェア協調シミュレーションチュートリアル : 浮動小数点高速フーリエ変換 (FFT) のシミュレーションの高速化 UG817 (v 14.1) 2012 年 4 月 24 日 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション ISim ハードウェア協調シミュレーションチュートリアル : 浮動小数点高速フーリエ変換のシミュレーション UG817 (v 13.1) 2011 年 3 月 18 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely

More information

Vivado Design Suite チュートリアル : デザイン解析およびクロージャ テクニック (UG938)

Vivado Design Suite チュートリアル : デザイン解析およびクロージャ テクニック  (UG938) Vivado Design Suite チュートリアル デザイン解析およびクロージャテクニック Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.to the

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

チーム デザイン チュートリアル (UG839)

チーム デザイン チュートリアル (UG839) チームデザインチュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

Oracle ESB - レッスン02: CustomerDataバッチCSVファイル・アダプタ

Oracle ESB - レッスン02: CustomerDataバッチCSVファイル・アダプタ Oracle ESB レッスン 02: CustomerData バッチ CSV ファイル アダプタ Oracle 統合製品管理 Page 1 シナリオの概要 機能 複数レコードを含む CSV ファイルを 1 レコードずつ処理する CustomerData にインバウンド ファイル アダプタを追加する 顧客データと同期する CSV ファイル Features - JDeveloper ESB ダイアグラマ

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

Maser - User Operation Manual

Maser - User Operation Manual Maser 3 Cell Innovation User Operation Manual 2013.4.1 1 目次 1. はじめに... 3 1.1. 推奨動作環境... 3 2. データの登録... 4 2.1. プロジェクトの作成... 4 2.2. Projectへのデータのアップロード... 8 2.2.1. HTTPSでのアップロード... 8 2.2.2. SFTPでのアップロード...

More information

Sharing the Development Database

Sharing the Development Database 開発データベースを共有する 目次 1 Prerequisites 準備... 2 2 Type of database データベースのタイプ... 2 3 Select the preferred database 希望のデータベースを選択する... 2 4 Start the database viewer データベース ビューワーを起動する... 3 5 Execute queries クエリを実行する...

More information

クラウド内の Java - 動画スクリプト 皆さん こんにちは Steve Perry です 私たちが作成した人事アプリケーションを覚えていますか? 今回は そのアプリケーションをクラウド内で実行しましょう コードは GitHub の

クラウド内の Java - 動画スクリプト 皆さん こんにちは Steve Perry です 私たちが作成した人事アプリケーションを覚えていますか? 今回は そのアプリケーションをクラウド内で実行しましょう コードは GitHub の クラウド内の Java - 動画スクリプト 皆さん こんにちは Steve Perry です 私たちが作成した人事アプリケーションを覚えていますか? 今回は そのアプリケーションをクラウド内で実行しましょう コードは GitHub の https://github.com/makotogo/javainthecloud からダウンロードでき この動画では 次の方法を説明し WebSphere Application

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

Microsoft PowerPoint - Borland C++ Compilerの使用方法(v1.1).ppt [互換モード]

Microsoft PowerPoint - Borland C++ Compilerの使用方法(v1.1).ppt [互換モード] Borland C++ Compiler の 使用方法 解説書 (v1.1) 1 準備 (1/2) 1. スタートメニューから コントロールパネル を開いて その中に デスクトップのカスタマイズ フォルダーオプション があるので開く エクスプローラー内の ツール フォルダーオプション などからも開ける 2. 表示 タブにある 登録されている拡張子は表示しない のチェックを外して OKを押す これでファイルの拡張子が表示されるようになった

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

ch2_android_2pri.indd

ch2_android_2pri.indd Android SDK をインストールしよう Android Developers サイトから Android SDK をダウンロードして インストールします 1 インターネットブラウザのアドレスバーに http://dl.google.com/android/ installer_r20-windows.exe と入力して g キーを押す 1 ファイルを保存するメッセージが表示される 2 [ 保存

More information

monologue Sound Librarian 取扱説明書

monologue Sound Librarian 取扱説明書 J 3 目次 はじめに... 2 monologue Sound Librarian とは?... 2 使用上のご注意... 2 動作環境... 2 インストール... 3 Mac へのインストール... 3 Windows へのインストール... 3 クイック スタート... 4 monologue Sound Librarian を起動する... 4 monologue Sound Librarian

More information

Vivado Design Suite チュートリアル IP を使用した設計 (UG939)

Vivado Design Suite チュートリアル IP を使用した設計 (UG939) Vivado Design Suite チュートリアル IP を使用した設計 Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.to the maximum extent

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

作業環境カスタマイズ 機能ガイド(応用編)

作業環境カスタマイズ 機能ガイド(応用編) Customize Feature Guide by SparxSystems Japan Enterprise Architect 日本語版 作業環境カスタマイズ機能ガイド ( 応用編 ) (2018/05/16 最終更新 ) 1 はじめに このドキュメントでは Enterprise Architect を利用して作業を行う場合に より快適に作業を行うためのカスタマイズ可能な項目について説明します

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

Vivado Design Suite ユーザー ガイド : IP を使用した設計 (UG896)

Vivado Design Suite ユーザー ガイド : IP を使用した設計 (UG896) Vivado Design Suite ユーザーガイド IP を使用した設計 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.to the maximum extent

More information

HP Primeバーチャル電卓

HP Primeバーチャル電卓 HP Prime バーチャル電卓 Windows は 米国 Microsoft Corporation およびその関連会社の米国およびその他の国における商標または登録商標です 本書の内容は 将来予告なしに変更されることがあります HP 製品およびサービスに関する保証は 当該製品およびサービスに付属の保証規定に明示的に記載されているものに限られます 本書のいかなる内容も 当該保証に新たに保証を追加するものではありません

More information

PlanAhead ソフトウェア チュートリアル : RTL デザインと CORE Generator を使用した IP の生成

PlanAhead ソフトウェア チュートリアル : RTL デザインと CORE Generator を使用した IP の生成 RTL デザインと CORE Generator を使用した IP の生成 UG 675 (v 12.2) 2010 年 7 月 23 日 Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

MusicSoft Manager

MusicSoft Manager MusicSoft Manager( ミュージックソフトマネージャー ) は 電子楽器で扱うファイル ( ソングやスタイルデータ ) を iphone/ipod touch/ipad 上で管理するアプリケーションです 本アプリケーションにより以下のことができます データのダウンロード購入 データをアプリと楽器 コンピューター オンラインストレージサービス Dropbox ( ドロップボックス ) 間で転送

More information

Agileイベント・フレームワークとOracle BPELを使用したPLMワークフローの拡張

Agileイベント・フレームワークとOracle BPELを使用したPLMワークフローの拡張 Agile イベント フレームワークと Oracle BPEL を使用した PLM ワークフローの拡張 チュートリアル Jun Gao Agile PLM Development 共著 2009 年 10 月 目次 概要... 4 このチュートリアルについて... 4 目的および範囲... 4 使用ソフトウェア... 4 はじめに... 5 必要な環境の準備... 5 Agile PLM ワークフロー機能の拡張...

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

Syslog、SNMPトラップ監視の設定

Syslog、SNMPトラップ監視の設定 AdRem NetCrunch 8 参考資料 NetCrunch は AdRem Software が開発し所有する監視ソフトウェアである 株式会社情報工房は日本における総販売代理店である 2015 Johokobo, Inc. 目次 1. SYSLOG SNMP トラップ監視の概要... 3 2. SYSLOG SNMP トラップ監視の設定方法... 3 2.1. NETCRUNCH オプションの設定...

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

発環境を準備しよう2 章開Eclipseをインストールしようそれでは Eclipseをセットアップしましょう Eclipseは Eclipse Foundationのサイトからダウンロードできます ダウンロードのページを開くと いく

発環境を準備しよう2 章開Eclipseをインストールしようそれでは Eclipseをセットアップしましょう Eclipseは Eclipse Foundationのサイトからダウンロードできます  ダウンロードのページを開くと いく 2.1 Java の開発ツールを入手しよう Java の実行環境と 開発ツールの Eclipse Android 向けアプリケー ションの開発ツール Android SDK をダウンロードしましょう 本書では Windows パソコンへのインストール方法を説明します Javaをインストールしようまず 最新のJava 実行環境を入手しましょう Javaは Java 公式サイト (http://www.java.com/ja/)

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

Format text with styles

Format text with styles Word 入門 Word はワープロおよびレイアウトのための効果的なアプリケーションです 最も効果的に使用するには 最初にその基礎を理解する必要があります このチュートリアルでは すべての文書で使用する作業と機能をいくつか紹介します 開始する前に... 1 1. 新しい空白の文書を作成する... 2 2. Word のユーザーインターフェイスについて... 4 3. 文書内を移動する... 5 4.

More information

ゲートウェイのファイル形式

ゲートウェイのファイル形式 CHAPTER 47 Cisco Unified Communications Manager 一括管理 (BAT) を使用すると シスコのを Cisco Unified Communications Manager データベースに一括して設定できます 次のトピックでは これらのタスクの情報とについて説明します の検索 の設定 の検索 を検索するは 次のとおりです ステップ 1 [ 一括管理 ]>[

More information

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1 自習 & ハンズオントレーニング資料 System Recovery 18 ファイルのリストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス本部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく行われることもあります なお 当ドキュメントの内容は参考資料として

More information

MotionBoard Ver. 5.6 パッチ適用手順書

MotionBoard Ver. 5.6 パッチ適用手順書 MotionBoard Ver. 5.6 パッチ適用手順書 目次 目次 目次... 2 本パッチ適用手順書について... 3 1. パッチ適用手順... 4 1-1. MotionBoard サーバー インメモリ OLAP エンジン MotionBoard RC Service の適用手順... 5 1-2. MotionBoard Agent の適用手順... 7 1-3. +Mobile アプリケーション

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

目次 1. HLA Fusion 3.0 がインストール可能な環境 HLA Fusion 3.0 のインストール HLA Fusion 3.4 のインストール 初期設定用データベース接続 ( 初めての方のみ ) 既存データベースのUpg

目次 1. HLA Fusion 3.0 がインストール可能な環境 HLA Fusion 3.0 のインストール HLA Fusion 3.4 のインストール 初期設定用データベース接続 ( 初めての方のみ ) 既存データベースのUpg 目次 1. HLA Fusion 3.0 がインストール可能な環境... 1 2. HLA Fusion 3.0 のインストール... 2 3. HLA Fusion 3.4 のインストール... 4 4. 初期設定用データベース接続 ( 初めての方のみ )... 5 5. 既存データベースのUpgrade 方法 (HLA Fusion 3~3.3 を既に使用の方 )... 7 6. インストールが成功したかの確認...

More information

Symantec AntiVirus の設定

Symantec AntiVirus の設定 CHAPTER 29 Symantec AntiVirus エージェントを MARS でレポートデバイスとしてイネーブルにするためには Symantec System Center コンソールをレポートデバイスとして指定する必要があります Symantec System Center コンソールはモニタ対象の AV エージェントからアラートを受信し このアラートを SNMP 通知として MARS に転送します

More information

Windows AIKを使用したPE2

Windows AIKを使用したPE2 Windows AIK を使用した PE2.0 ベースの起動 CD 作成方法 この資料では マイクロソフト社から提供されている Windows AIK( 自動インストールキット ) を使用して Windows PE 2.0 ベースの起動 CD を作成する方法をご紹介します Image Backup や LB コピーコマンダーなどの製品 CD やリカバリーメディアは 主に DOS や Linux 環境で動作するため

More information

AutoCAD Mechanical 2009 Service Pack 2 Readme 高品質な製品を提供するため オートデスクは AutoCAD Mechanical 2009 Service Pack 2 をリリースしました この Service Pack は AutoCAD Mechani

AutoCAD Mechanical 2009 Service Pack 2 Readme 高品質な製品を提供するため オートデスクは AutoCAD Mechanical 2009 Service Pack 2 をリリースしました この Service Pack は AutoCAD Mechani AutoCAD Mechanical 2009 Service Pack 2 Readme 高品質な製品を提供するため オートデスクは AutoCAD Mechanical 2009 Service Pack 2 をリリースしました この Service Pack は AutoCAD Mechanical 2009 に含まれる問題を修正します この README では 修正または対処された点 更新ファイルをダウンロードする場所

More information

Report Template

Report Template 日本語マニュアル 第 16 章 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 不明箇所について又は疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 Backup Exec 15 BE15-10 ファイル単位のバックアップ リストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み

More information

Vivado Design Suite ユーザー ガイド : IP インテグレーターを使用した IP サブシステム (UG994)

Vivado Design Suite ユーザー ガイド : IP インテグレーターを使用した IP サブシステム (UG994) Vivado Design Suite ユーザーガイド IP インテグレーターを使用した IP サブシステムの設計 Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.to

More information

DIGNO® ケータイ ユーザーガイド

DIGNO® ケータイ ユーザーガイド を利用する アプリについて商標 ライセンスについて 本製品は 株式会社 ACCESSの技術提供を受けております 2011 ACCESS CO., LTD. All rights reserved. Copyright 2009 The Android Open Source Project Licensed under the Apache License, Version 2.0 (the "License");

More information

1 開発ツールのインストール 最初に JDK をインストールし 次に IDE をインストールする という手順になります 1. JDK のインストール JDK のダウンロードとインストール JDK は次の URL でオラクル社のウェブページからダウンロードします

1 開発ツールのインストール 最初に JDK をインストールし 次に IDE をインストールする という手順になります 1. JDK のインストール JDK のダウンロードとインストール JDK は次の URL でオラクル社のウェブページからダウンロードします 1 開発ツールのインストール 最初に JDK をインストールし 次に IDE をインストールする という手順になります 1. JDK のインストール JDK のダウンロードとインストール JDK は次の URL でオラクル社のウェブページからダウンロードします http://www.oracle.com/technetwork/java/javase/downloads/index.html なお

More information

シヤチハタ デジタルネーム 操作マニュアル

シヤチハタ デジタルネーム 操作マニュアル 操作マニュアル 目次 1 はじめに... 2 2 動作環境... 2 3 インストール... 3 4 印鑑を登録する... 6 5 登録した印鑑を削除する... 9 6 印鑑を捺印する... 10 6.1 Word 文書へ捺印する... 10 6.2 Excel 文書へ捺印する... 12 7 コピー & ペーストで捺印する... 13 8 印鑑の色を変更する... 15 9 印鑑の順番を入れ替える...

More information

ユーザ デバイス プロファイルの ファイル形式

ユーザ デバイス プロファイルの ファイル形式 CHAPTER 34 CSV データファイルの作成にテキストエディタを使用する場合 デバイスフィールドと回線フィールドを CSV データファイル内で識別するファイル形式を使用する必要があります このファイル形式には次のオプションがあります Default User Device Profile: ユーザデバイスプロファイルのデバイスフィールドと回線フィールドの事前決定済みの組み合せを含む Simple

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

利用ガイド

利用ガイド Linux/Dos 版起動 CD の使用方法について この資料では LB コピーワークスの Linux/Dos 版起動 CD の使用方法についてご紹介します 1-1 起動 CD からの起動方法起動 CD をドライブにセットして PC を再起動 ( 起動 ) します CD からブートされ LB コピーワークス 10 のメインメニューが表示されます この画面が表示されずに OS が起動してしまう場合には

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

Windows Server 2012 および Windows Server 2008 のインストール

Windows Server 2012 および Windows Server 2008 のインストール Windows Server 2012 および Windows Server 2008 のインストール この章は 次の内容で構成されています 内部ドライブへの Windows Server 2012 または Windows Server 2008 のインストール, 1 ペー ジ ブート可能 SAN LUN への Windows Server 2012 または Windows Server 2008

More information

ゲートウェイのファイル形式

ゲートウェイのファイル形式 この章では Cisco Unified Communications Manager 一括管理 BAT を使用して シスコのゲー トウェイのファイル形式を Cisco Unified Communications Manager データベースに一括して設定す る方法について説明します の検索, 1 ページ の設定, 2 ページ ファイル形式と CSV データ ファイルの関連付け, 5 ページ の検索

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

Microsoft Word - editage_trackchange_word2007.doc

Microsoft Word - editage_trackchange_word2007.doc 変更履歴とコメントを確認する 適用対象 適用対象 : Microsoft Office Word 2007 変更履歴とコメントが含まれた文書が不注意により配布されないように Word では 変更履歴とコメントを既定で表示します [ 最終版 ( 変更箇所 / コメントの表示 )] は ( 変更の表示方法の切り替え ) の既定のオプションです Microsoft Office Word では すべての挿入

More information

FTDI USB-Serial Converter デバイスドライバのインストール(Rev.1.01)

FTDI USB-Serial Converter デバイスドライバのインストール(Rev.1.01) FTDI USB-Serial Converter デバイスドライバの インストール / アンインストール (Rev.1.10) 年 月 日 合同会社クラッグ電子 (1/19) 目次 1. 初めに... 3 2. デバイスドライバのインストール... 3 2-1. インターネットに接続されている環境... 4 2-2. インターネットに接続されていない環境 ( 自動 )... 6 2-3. インターネットに接続されていない環境

More information

Windows Live メール OWA メールアカウント登録手順 1.1 版 2016 年 3 月協立情報通信株式会社 1 C 2016 Kyoritsu Computer & Communication Co.,Ltd.

Windows Live メール OWA メールアカウント登録手順 1.1 版 2016 年 3 月協立情報通信株式会社 1 C 2016 Kyoritsu Computer & Communication Co.,Ltd. Windows Live メール OWA メールアカウント登録手順 1.1 版 2016 年 3 月協立情報通信株式会社 1 更新履歴版数 更新日 作成者 内容 1.0 2016/02 KCC 石井 初版作成 1.1 2016/03 KCC 坂井 表現変更 OWA Office365 サイトもしくは Web メール 入れ子 カテゴリ記載内容を 2 つのマニュアルに分割 メールアカウント登録手順 メールデータ移行手順

More information

FA/LAインストールガイド(トライアル版)

FA/LAインストールガイド(トライアル版) FEATURE ANALYST / LIDAR ANALYST 5.2 インストールガイド インストールの必要条件 PC にインストール済みのプログラム FEATURE ANALYST または LIDAR ANALYST をインストールするコンピュータには ArcGIS ( 対応バージョン :10.2.x, 10.3.x, 10.4.x, 10.5.x, 10.6.x) がインストールされている必要があります

More information

PowerPoint Presentation

PowerPoint Presentation Library for Keysight ADS (for 2011 and later) ユーザーマニュアル 1 28 September 2018 0. 目次 1. 本マニュアルについて 2. 動作環境 3. インストール方法 4. 使用法 5. お問い合わせ先 2 1. 本マニュアルについて 本マニュアルは 株式会社村田製作所 ( 以下 当社 ) 製品のパラメータを Keysight 社 ADS2011

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

目次 第 1 章はじめに 取扱いについて 記載内容について... 6 第 2 章基本操作 Excel Online を開く ファイル ( ブック ) を作成する ファイル ( ブック ) を開く..

目次 第 1 章はじめに 取扱いについて 記載内容について... 6 第 2 章基本操作 Excel Online を開く ファイル ( ブック ) を作成する ファイル ( ブック ) を開く.. Office 365 Excel Online - 利用マニュアル - 発行日 2015/11/01 1 目次 第 1 章はじめに... 5 1.1. 取扱いについて... 6 1.2. 記載内容について... 6 第 2 章基本操作... 7 2.1. Excel Online を開く... 8 2.2. ファイル ( ブック ) を作成する... 10 2.3. ファイル ( ブック ) を開く...

More information

<4D F736F F D D834F D B834C815B837B815B D836A B A2E646F6378>

<4D F736F F D D834F D B834C815B837B815B D836A B A2E646F6378> プログラマブルキーボード PKB-065 PKB-078 PKB-111 (PS/2 接続 ) 設定マニュアル 対応 OS( ユーティリティ ) Windows 2000 Windows XP 使用するまでの流れ 1. プログラマブルキーボードをパソコンに接続 (P1) 2. ユーティリティソフトのインストール (P2~) 3. キーボードドライバのインストール (P5~) 4. ユーティリティソフトを使用してプログラマブルキーボードのキーを設定

More information

内容 1 はじめに インストールの手順 起動の手順 Enterprise Architect のプロジェクトファイルを開く 内容を参照する プロジェクトブラウザを利用する ダイアグラムを開く 便利な機能.

内容 1 はじめに インストールの手順 起動の手順 Enterprise Architect のプロジェクトファイルを開く 内容を参照する プロジェクトブラウザを利用する ダイアグラムを開く 便利な機能. Viewer manual by SparxSystems Japan Enterprise Architect 読み込み専用版 (Viewer) 利用マニュアル 内容 1 はじめに...3 2 インストールの手順...3 3 起動の手順...6 4 Enterprise Architect のプロジェクトファイルを開く...7 5 内容を参照する...8 5.1 プロジェクトブラウザを利用する...8

More information

サイボウズ Office 10「リンク集」

サイボウズ Office 10「リンク集」 サイボウズ Office 10 バージョン 10.6 リンク集 Copyright (C) 2013-2016 Cybozu 商標について 記載された商品名 各製品名は各社の登録商標または商標です また 当社製品には他社の著作物が含まれていることがあります 個別の商標 著作物に関する注記については 弊社の Web サイトを参照してください http://cybozu.co.jp/company/copyright/other_companies_trademark.html

More information

HDWS Update Instruction Guide

HDWS Update Instruction Guide シリーズ ノンリニア編集ワークステーション OS アップデート手順書 年 月版 はじめに 本書では HDWS シリーズに搭載の Windows 10 OS をアップデートする手順について説明しています 本書をよくお読みの上 アップデートを行っていただきますようお願いいたします アップデート対象機種 Windows 10 OS 搭載の HDWS シリーズで OS バージョンが 1809 より前のバージョンが対象機種になります

More information

ソフトウェアの更新ユーザーガイド

ソフトウェアの更新ユーザーガイド ソフトウェアの更新ユーザーガイド Copyright 2009 Hewlett-Packard Development Company, L.P. Windows および Windows Vista は 米国 Microsoft Corporation の米国およびその他の国における登録商標です 製品についての注意事項 このユーザーガイドでは ほとんどのモデルに共通の機能について説明します 一部の機能は

More information

32 ビット版 64 ビット版 Microsoft Windows XP Professional Microsoft Windows XP Professional x64 * * SP2 および SP3 Edition SP2 Microsoft Windows XP Home SP2 お *

32 ビット版 64 ビット版 Microsoft Windows XP Professional Microsoft Windows XP Professional x64 * * SP2 および SP3 Edition SP2 Microsoft Windows XP Home SP2 お * Autodesk Mechanical Desktop 2009 Service Pack 2 Readme 高品質な製品を提供するため オートデスクは Autodesk Mechanical Desktop 2009 Service Pack 2 をリリースしました この Service Pack は 次の製品に含まれる問題を修正します Autodesk Mechanical Desktop 2009

More information

Microsoft PowerPoint - Tutorial_6.ppt

Microsoft PowerPoint - Tutorial_6.ppt 6 RapidApps を使ったスピーディーなアプリ開発 1 課題手順 RapidApps でアプリを開発する 開発した Kiosk アプリの動作を確認する 2 RapidApps でアプリを開発する (1) Bluemix RapidApps は Web やモバイル アプリをスピーディーに設計 / 開発し Bluemix にデプロイすることができるビジュアル開発ツールです ここでは RapidApps

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

Microsoft PowerPoint - Tutorial_2_upd.ppt

Microsoft PowerPoint - Tutorial_2_upd.ppt 2 Eclipse を使った Bluemix アプリケーション開発 1 ハンズオン手順 ハンズオンの概要 Eclipse から Java アプリをデプロイする 公開されているプロジェクトをインポートする インポートしたプロジェクトをBluemixにデプロイする ここでは PostgreSQL サービスを提供する ElephantSQL というサービスを使用します デプロイしたアプリケーションを確認する

More information

Cisco ViewMail for Microsoft Outlook クイックスタートガイド (リリース 8.5 以降)

Cisco ViewMail for Microsoft Outlook クイックスタートガイド (リリース 8.5 以降) クイックスタートガイド Cisco ViewMail for Microsoft Outlook クイックスタートガイド ( リリース 8. 以降 ) Cisco ViewMail for Microsoft Outlook( リリース 8. 以降 ) Cisco ViewMail for Microsoft Outlook の概要 Outlook 010 および Outlook 007 での ViewMail

More information

本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作

本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作 TOKYOELECTRONDEVICE 本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作は一例としてご参照下さい リファレンスデザイン内の ISEプロジェクトを立ち上げ

More information

C1Live

C1Live C1Live 2014.01.30 更新 グレープシティ株式会社 Copyright GrapeCity, Inc. All rights reserved. C1Live 目次 i 目次 ComponentOne Studio Live 更新ユーティリティの概要 1 Studio Live について 2 Studio Live 製品グリッド... 3 Studio Live メニュー... 4 Studio

More information

Microsoft PowerPoint ï½žéł»å�’å–¥æœ�ㇷㇹㅃㅀ㇤ㅳㇹㅋㅼㅫ曉逃.ppt [äº™æ‘łã…¢ã…¼ã…›]

Microsoft PowerPoint ï½žéł»å�’å–¥æœ�ㇷㇹㅃㅀ㇤ㅳㇹㅋㅼㅫ曉逃.ppt [äº™æ‘łã…¢ã…¼ã…›] 電子入札システムクライアント V4 インストール手順 平成 30 年 3 月 富山市財務部契約課 注意事項 平成 30 年 3 月以降は現在インストールしていただいている 電子入札システムクライアント V3 は使用できなくなります 本手順に従い必ず 電子入札システムクライアント V4 をインストールしてください Windows10 の標準ブラウザ Microsoft Edge は富山市電子入札システムを使用できません

More information

<4D F736F F F696E74202D F56504E90DA91B1835C CC834E838A B838B837D836A B2E >

<4D F736F F F696E74202D F56504E90DA91B1835C CC834E838A B838B837D836A B2E > VPN 接続ソフトのアンインストールマニュアル 注 ) 本手順は PC をご利用のお客様が端末上の VPN 接続ソフトを完全に削除する手順となります Windows XP の場合 P.1 Windows VISTA, 7 の場合 P.7 Windows 8 の場合 P.13 VPN 接続ソフトのアンインストール Windows XP Windows XP の場合 VPN ソフトのアンインストール (Windows

More information

Design with themes — Part 1: The Basics

Design with themes — Part 1: The Basics PowerPoint 入門 PowerPoint はプレゼンテーションのための効果的なアプリケーションです 最も効果的に使用するためには 最初にその基礎を理解する必要があります このチュートリアルでは すべてのプレゼンテーションで使用する作業と機能をいくつか紹介します 開始する前に... 1 1. 新しい空白のプレゼンテーションを作成する... 2 2. PowerPoint ユーザーインターフェイスについて...

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information