スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 SoC -SWG ATE -SWG

2 SEAJ 2

3 VLSI 3

4 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach? How can we make test of complex SIP designs more cost effective? Can DFT and BIST mitigate the mixed signal tester capability treadmill? What other opportunities exist? Can ATE instruments catch up and keep up with high speed serial performance trends? Will increasing test data volume lead to increased focus on Logic BIST architectures? What are the other solutions? Can DFT mitigate analog test cost as it does in the digital domain? What happens when high speed serial interfaces become buses? Will market dynamics justify development of next generation functional test capabilities? 4

5 SoC WG2 5

6 SoC ATEDFT DFT WLBI BIST ATE IODC 6

7 SoC BIST (1)IO (2) DUT A No/Go 64M 7

8 DFT ATE BIST pass/fail WLBI pass/fail pass/fail ATE 10 STARC 8

9 00 ATPG STARC 9

10 BIST STARC 10

11 01 x 0 x x ATPG x x 0 x x 0 x xx x xx x 0 x STARC 11

12 DFT ITRS Fix 12 ITRS

13 Year of Production Embedded Cores BIST Standardization of core Standard format Standard format Standard format Extension to Extension to Extension to test data on EDA/ATE on EDA/ATE BIST on EDA/ATE analog cores analog cores analog cores Embedded Cores: Logic Test logic insertion at RTL design Partially Partially Fully Fully Fully Fully BISR for logic cores Minimal Minimal Minimal Some Some Some Embedded Cores: Memory Embedded non-volatile memory BIST SoC Level Testing Fault model for SoC level fault coverage Yes Yes Yes Yes Yes Yes Single stuck-at fault model/ transition ITRS2005 BIST Yes Yes Yes Yes Yes : 13

14 BIST SoCSRAM DRAMSRAM-BIST BIRABuilt-In Redundancy AllocationBISRBuilt-In Self Repair BISTBIRA/BISR SRAMR/D SRAMR/D SRAM BIST SRAM (R/D) BIST BIST SRAMR/D SRAM BIST BIST + BIRA/BISR BIST BIST+ BISR BIST BISR(eFuse BIST+ BISR BIST 90nm 65nm 45nm 14

15 BIST SoCITRS DFTBISTBIRA/BISR Year of Production BIST Technology Node hp90 hp65 SRAM Technology Node - Feature Size (F) [1] T bit(f 2 )[1] 140F 2 140F 2 140F 2 140F 2 140F 2 140F 2 140F 2 140F 2 SoC(%) [2] (Kbit) 4,293 [3] 9,135 9,326 9,504 19,322 20,397 21,498 46,111 BISR BISR BISR BISR BISR BISR BISR BISR BIST/BIRA/BISR TBD TBD TBD TBD TBD TBD TBD TBD [1] ITRS 2003 System Drivers Table 11a/b, Embedded Memory Requirements [2] Figure 11, Power Gap Effect on Chip Composition [3] TF/PIDS/FEP(2002) hp45 15

16 At-speed BIST 90nm 65nm 45nm 16

17 -SoC - 17

18 specification 18

19 BIST / BIST BIRABISR BIST DFT ATE 19

20 - 20

21 - DFT-BIST Device Test Requirements Table 30 DFT-BIST Device Test Requirements Near-term Year of Production Driver Technology Node hp90 hp65 DRAM ½ Pitch (nm) MPU / ASIC ½ Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length (nm) Number of parallel sites Cost Scan data volume(giga-pin-vectors available per site) Logic Density Data capture volume (M bits-per-pin) Scan/BIST debug Scan pin (available per site / system) 384/2K 384/2K 512/4K 512/4K 512/4K 512/4K 512/4K Logic Density Scan vector rate (MT or MHz) Test Time Full function pin (available per site / system) 128/ / / / / / /512 Test Time Functional vector depth (M-Vectors) Logic Density Functional data rate (MHz) Test Time 21

22 / / / / / BIST / / / I -II 22

23 Table 30b DFT-BIST Device Test Requirements on SoC Production Table 30 DFT-BIST Device Test Requirements in SoC Production Near-term Year of Production Driver Technology Node hp90 hp65 DRAM ½ Pitch (nm) MPU / ASIC ½ Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length (nm) Number of parallel sites Scan data volume(giga-pin-vectors available per site) Data capture volume (M bits-per-pin) Scan pin (available per site / system) Scan vector rate (MT or MHz) Full function pin (available per site / system) Functional vector depth (M-Vectors) Functional data rate (MHz) Cost Logic Density Scan/BIST debug Logic Density Test Time Test Time Logic Density Test Time 23

24 Packaging Package Burn-in Final Test Conventional Mounting Probe Test Wafer Voltage Stress Test Pattern Wafer Voltage Stress Burn-in & Test Integrated into WLBI WLBI Probing Heating Heating Test Pattern Dicing KGD High Density Mounting CSP SiP 24

25 25

26 Year mm 300mm 200mm 300mm 1.2k 2.4k 40k 90k 1.2k 2.4k 40k 90k 2.5k 5.0k 40k 90k 2.5k 5.0k 60k 135k 2.5k 2.5k 5.0k 5.0k 10k 10k 10k 10k 60k 60k 135k 135k 135k 135k 135k 135k PCB PCR PCR Conductive particle Bump Pad Bump s Al Pads Si Substrate 26

27 - 27

28 WG 28

29 29

30

31 31

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p / Motor Specifications MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-pahse Stepping Motor is mounted directly onto the shaft end of a C3 grade precision Ball Screw, which is suitable for high

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

Direct Motor Drive Lead Screws / Resin Lead Screw type RM / RM RM Resin Lead Screw type RM / Resin MoBo 2 MRH 2 Features A 2-phase Stepping Motor is m

Direct Motor Drive Lead Screws / Resin Lead Screw type RM / RM RM Resin Lead Screw type RM / Resin MoBo 2 MRH 2 Features A 2-phase Stepping Motor is m RM Resin Lead Screw typerm / Resin MoBo MRH Features A -phase Stepping Motor is mounted directly onto the shaft end of a Resin Lead Screw, which is multi-use product. Lead Screw Shaft is ideally constructed

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

FAX-760CLT

FAX-760CLT FAX-760CLT ;; yy 1 f a n l p w s m t v y k u c j 09,. i 09 V X Q ( < N > O P Z R Q: W Y M S T U V 1 2 3 4 2 1 1 2 1 2 j 11 dd e i j i 1 ; 3 oo c o 1 2 3 4 5 6 j12 00 9 i 0 9 i 0 9 i 0 9 i oo

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro Cable Gland This is the s to use for Cable Wiring in the hazardous location. It is much easier to install and maintenance and modification compared with Conduit Wiring with Sealing Fitting. The Standard

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

2

2 2011 8 6 2011 5 7 [1] 1 2 i ii iii i 3 [2] 4 5 ii 6 7 iii 8 [3] 9 10 11 cf. Abstracts in English In terms of democracy, the patience and the kindness Tohoku people have shown will be dealt with as an exception.

More information

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

音響部品アクセサリ本文(AC06)PDF (Page 16)

音響部品アクセサリ本文(AC06)PDF (Page 16) Guide for Electret Condenser Microphones A microphone as an audio-electric converting device, whose audio pickup section has a structure of a condenser consisting of a diaphragm and a back plate opposite

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

はじめに

はじめに IT 1 NPO (IPEC) 55.7 29.5 Web TOEIC Nice to meet you. How are you doing? 1 type (2002 5 )66 15 1 IT Java (IZUMA, Tsuyuki) James Robinson James James James Oh, YOU are Tsuyuki! Finally, huh? What's going

More information

1 2 3

1 2 3 INFORMATION FOR THE USER DRILL SELECTION CHART CARBIDE DRILLS NEXUS DRILLS DIAMOND DRILLS VP-GOLD DRILLS TDXL DRILLS EX-GOLD DRILLS V-GOLD DRILLS STEEL FRAME DRILLS HARD DRILLS V-SELECT DRILLS SPECIAL

More information

soturon.dvi

soturon.dvi 12 Exploration Method of Various Routes with Genetic Algorithm 1010369 2001 2 5 ( Genetic Algorithm: GA ) GA 2 3 Dijkstra Dijkstra i Abstract Exploration Method of Various Routes with Genetic Algorithm

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

特-11.indd

特-11.indd CCH3000LJ Development of Luffing Jib Crawler Crane CCH3000LJ IHI IHI IHI IHI IHI IHI IHI In recent years, the need for the construction of social infrastructure, particularly in developing nations such

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 8 4 1 2 3 5 1 2 1 2 3 4 5 6 7 6 1 3 7 1 2 3 4 5 6 7 8 9 10 11 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 1 16 1 1 17 1 2 18 3 1 4 5 19

More information

スライド 1

スライド 1 車 載 インテリア 用 LED STANLEY ELECTRIC proposes a new standard LED line up. スタンレー 電 気 から 新 たな 標 準 LEDラインアップをご 提 案 致 します 1Effective use of Light" in accordance with the best lighting pattern suitable for various

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

MOTIF XF 取扱説明書

MOTIF XF 取扱説明書 MUSIC PRODUCTION SYNTHESIZER JA 2 (7)-1 1/3 3 (7)-1 2/3 4 (7)-1 3/3 5 http://www.adobe.com/jp/products/reader/ 6 NOTE http://japan.steinberg.net/ http://japan.steinberg.net/ 7 8 9 A-1 B-1 C0 D0 E0 F0 G0

More information

- Principles for a Telecommunications management network 2.0 2001 11 27 THE TELECOMMUNICATION TECHNOLOGY COMMITTEE 2 JT-M3010 ...19...20...20...20...20...21...22...23...24 3 JT-M3010 ...26...28...29...34...34...35...35...37...37...37...37...37

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

kubostat2015e p.2 how to specify Poisson regression model, a GLM GLM how to specify model, a GLM GLM logistic probability distribution Poisson distrib

kubostat2015e p.2 how to specify Poisson regression model, a GLM GLM how to specify model, a GLM GLM logistic probability distribution Poisson distrib kubostat2015e p.1 I 2015 (e) GLM kubo@ees.hokudai.ac.jp http://goo.gl/76c4i 2015 07 22 2015 07 21 16:26 kubostat2015e (http://goo.gl/76c4i) 2015 (e) 2015 07 22 1 / 42 1 N k 2 binomial distribution logit

More information

Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake

Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake supplied to the engine, and as such are critical elements

More information

植物23巻2号

植物23巻2号 (J.SHITA)23(2):37-43. 2011. 37 520-0531 1-13-15 Operation Should be Automated and not so in Plant Factory for Leafy Vegetables Touichi OGURA Goodgoal Inc, 1-13-15 Suimei Otsu-shi, Shiga 520-0531, Japan

More information

高周波同軸コネクタ

高周波同軸コネクタ RF circuit ANT Probe Signal in Signal out Probe Signal out Signal in RF circuit ANT Probe Probe Signal in Signal out Signal out Signal in RF ANT. RF ANT. Probe Probe Signal out Signal out Signal in Signal

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

303 Human Factors in Nuclear Power Plant with Focus on Application of Man-machine Interface and Advanced Data Processing Technologies to Nuclear Power Industry Abstract We discuss issues involved in a

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

EQUIUM EQUIUM S5010 1

EQUIUM EQUIUM S5010 1 EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 4 1 2 5 1 2 6 1 7 1 2 3 4 5 6 7 1 2 3 4 5 6 3 7 8 9 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 16 1 1 2 3 17 1 2 3 18 4 5 6 1 19 1 2 3

More information

Building a Culture of Self- Access Learning at a Japanese University An Action Research Project Clair Taylor Gerald Talandis Jr. Michael Stout Keiko Omura Problem Action Research English Central Spring,

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

0401489‐工芸‐医用画像22‐1/12[論文]柳田

0401489‐工芸‐医用画像22‐1/12[論文]柳田 364-8501 6-100 321-3292 20-2 XR 277-0804 2-1 2004 10 3 2004 12 6 Effectiveness of Mobile Flat Panel Detector system Satoshi YANAGITA, Masako HITACHI, Tomoyuki SAKURAI, Yoshihiro SUZAKI, Takashi OGURA Eiji

More information

No Slide Title

No Slide Title Mentor Graphics High Speed Board 2002 January 2002 Electronic Design and Solution Fair Agenda AutoActive / DRC Interconnectix / IBIS SPICE AutoActive + Interconnectix + EMI Sigrity SPEED2000 ( 2 AutoActive

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

206“ƒŁ\”ƒ-fl_“H„¤‰ZŁñ

206“ƒŁ\”ƒ-fl_“H„¤‰ZŁñ 51 206 51 63 2007 GIS 51 1 60 52 2 60 1 52 3 61 2 52 61 3 58 61 4 58 Summary 63 60 20022005 2004 40km 7,10025 2002 2005 19 3 19 GIS 2005GIS 2006 2002 2004 GIS 52 2062007 1 2004 GIS Fig.1 GIS ESRIArcView

More information

チョークコイル・リアクタ

チョークコイル・リアクタ THIN TRNSORMRS (MPT TYP) OMSTI(JPN) U S I TYP MPT MPT series are available for the thinner-sized sets and suit to I standard. H MPT I KS5535 KS6435 KS7235 KS7250 KS7270 KS9640 KS9660 KS9680 KS00 75.0 67.0

More information

96R22J NN396AEVB

96R22J NN396AEVB 96R22J Total Pages 4 Page The product specifications described in this book are subject to change without notice for the product which is currently under development. At the final stage of your design,

More information

AND9137JP - SO8FLパッケージ用ユニバーサル・フットプリント

AND9137JP - SO8FLパッケージ用ユニバーサル・フットプリント DC DC DFN QFN LFPAK 5 6mm SO8Fl QFN Power-SO8 DPAK SO8IC Figure 1 Figure 1. The Underside of an SO8FL Package APPLICATION NOTE PCB PCB Figure 2 LFPAK PCB SO8IC DPAK Figure 2 Semiconductor Components Industries,

More information

TOOLS for UR44 Release Notes for Windows

TOOLS for UR44 Release Notes for Windows TOOLS for UR44 V2.1.2 for Windows Release Notes TOOLS for UR44 V2.1.2 for Windows consists of the following programs. - V1.9.9 - Steinberg UR44 Applications V2.1.1 - Basic FX Suite V1.0.1 Steinberg UR44

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

ALT : Hello. May I help you? Student : Yes, please. I m looking for a white T-shirt. ALT : How about this one? Student : Well, this size is good. But do you have a cheaper one? ALT : All right. How about

More information

Development and Field Test of a Portable Camera System for Long Term Observation of Natural Dam Ken AKIYAMA (Tohoku Univ.), Genki YAMAUCHI (Tohoku Uni

Development and Field Test of a Portable Camera System for Long Term Observation of Natural Dam Ken AKIYAMA (Tohoku Univ.), Genki YAMAUCHI (Tohoku Uni Development and Field Test of a Portable Camera System for Long Term Observation of Natural Dam Ken AKIYAMA (Tohoku Univ.), Genki YAMAUCHI (Tohoku Univ.), Shoya HIGA (Tohoku Univ.), Keiji NAGATANI (Tohoku

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

5 1 2 3 4 5 6 7 8 9 10 1 Quick Boot 1st Boot Device 2nd Boot Device 3rd Boot Device Network Boot Initial Display Mode S.M.A.R.T. for Hard Disks BootUp Num-Lock Password Check CPU Serial Number System BIOS

More information

xEffect_SG_MV_Part2_E_xEffect_SG_MV_E

xEffect_SG_MV_Part2_E_xEffect_SG_MV_E Miniature Circuit Breakers FAZ-NA, FAZ-RT, FAZ-DU SG56912 FAZ-NA/-RT/-DU According to UL 489, CSA C22.2 No. 5 and also IEC 60947-2 standard For Applications, wich are permitted for UL 1077 or CSA C22.2

More information

1 2 8 24 32 44 48 49 50 SEC journal Vol.11 No.2 Sep. 2015 1 2 SEC journal Vol.11 No.2 Sep. 2015 SEC journal Vol.11 No.2 Sep. 2015 3 4 SEC journal Vol.11 No.2 Sep. 2015 SEC journal Vol.11 No.2 Sep. 2015

More information

- March

- March Grzegorz W. Ko odko TIGER - March - March - March - March - March - March - March - March - March Economist - March - March Economist - March PlanEcon - March - March - March - March - March

More information

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F Vol. 42 No. 4 Apr. 2001 VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating Frequencies Maki Horita, Tsutomu Yoshinaga, Kanemitsu

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

Microsoft Word - D JP.docx

Microsoft Word - D JP.docx Application Service Gateway Thunder/AX Series vthunder ライセンスキー インストール 手順 1 1.... 3 2. vthunder... 3 3. ACOS... 3 4. ID... 5 5.... 8 6.... 8 61... 8 62 GUI... 10 2 1. 概要 2. vthunder へのアクセス 方法 SSHHTTPSvThunder

More information

MDD PBL ET 9) 2) ET ET 2.2 2), 1 2 5) MDD PBL PBL MDD MDD MDD 10) MDD Executable UML 11) Executable UML MDD Executable UML

MDD PBL ET 9) 2) ET ET 2.2 2), 1 2 5) MDD PBL PBL MDD MDD MDD 10) MDD Executable UML 11) Executable UML MDD Executable UML PBL 1 2 3 4 (MDD) PBL Project Based Learning MDD PBL PBL PBL MDD PBL A Software Development PBL for Beginners using Project Facilitation Tools Seiko Akayama, 1 Shin Kuboaki, 2 Kenji Hisazumi 3 and Takao

More information

スライド 1

スライド 1 development process information for International Capstone Design Camp Toshiro MATSUDA Kumamoto University 1 To be confirmed! How to care your pet when you are away (2 週 間 留 守 の 家 でペットをケアするグッズづくり)

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

PowerPoint Presentation

PowerPoint Presentation age 1 1 2 3 4 5 Applications (Phone,Browser,JAVA,PIM ) Mobile Middleware Carrier Specification Modules (FOMA,Vodafone, i-mode for overseas MP-Domain-Specific Middleware Carrier Common Spec. Modules

More information

32C2100操作編ブック.indb

32C2100操作編ブック.indb 02 08 32C2100 18 24 31 37 2 3 12 13 6 7 68 67 41 42 33 34 3 4 11 8 18 4 11 4 22 13 23 11 23 12 13 14 15 10 18 19 20 20 10 9 20 18 23 22 8 8 22 9 9 4 30 10 10 11 5 13 13 16 15 26 24 37 40 39 6 7 8 1 2 29

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

Fig. 1. Schematic drawing of testing system. 71 ( 1 )

Fig. 1. Schematic drawing of testing system. 71 ( 1 ) 1850 UDC 669.162.283 : 669.162.263.24/. 25 Testing Method of High Temperature Properties of Blast Furnace Burdens Yojiro YAMAOKA, Hirohisa HOTTA, and Shuji KAJIKAWA Synopsis : Regarding the reduction under

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System 1. (1) ( MMI ) 2. 3. MMI Personal Computer(PC) MMI PC 1 1 2 (%) (%) 100.0 95.2 100.0 80.1 2 % 31.3% 2 PC (3 ) (2) MMI 2 ( ),,,, 49,,p531-532,2005 ( ),,,,,2005,p66-p67,2005 17 Proposal of an Algorithm of

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

Optical Lenses CCD Camera Laser Sheet Wind Turbine with med Diffuser Pitot Tube PC Fig.1 Experimental facility. Transparent Diffuser Double Pulsed Nd:

Optical Lenses CCD Camera Laser Sheet Wind Turbine with med Diffuser Pitot Tube PC Fig.1 Experimental facility. Transparent Diffuser Double Pulsed Nd: *1 *2 *3 PIV Measurement of Field of the Wind Turbine with a med Diffuser Kazuhiko TOSHIMITSU *4, Koutarou NISHIKAWA and Yuji OHYA *4 Department of Mechanical Engineering, Matsue National Collage of Technology,

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130-

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130- L i t r o n T o t a l L a s e r C a p a b i l i t y Nano Series Ultra Compact Pulsed Nd:YAG Lasers Product Range Specification Nano Range Specification Stable & Stable Telescopic Resonators Model Nano

More information

(1 ) (2 ) Table 1. Details of each bar group sheared simultaneously (major shearing unit). 208

(1 ) (2 ) Table 1. Details of each bar group sheared simultaneously (major shearing unit). 208 2463 UDC 621.771.251.09 : 621.791.94: 669.012.5 Improvement in Cold Shear Yield of Bar Mill by Computer Control System Koji INAZAKI, Takashi WASEDA, Michiaki TAKAHASHI, and Toshihiro OKA Synopsis: The

More information

LM2940.fm

LM2940.fm 1A 3 0.5V 1V 1A 3V 1A 5V 30mA (V IN V OUT 3V) LM2940 * 1A Low Dropout Regulator LM2940C 1A Low Dropout Regulator LM2940 19860409 33200 24060 11800 DS008822 0.5V@I O 1A 1A P 2006 2 Updated to include LLP

More information

429

429 WE WESB WENB WESNB 428 429 WESNB, WESNRB Quick Change Tap Adapter Tap sizes are based on old JIS standard. 0210 06100 WESN0B M3 M8M10 U1/4 U5/16U3/8 0 8 23 13 13 15 20 19.5 28 0.1 15,300 0210 06101 WESN1B

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

ISSN NII Technical Report Patent application and industry-university cooperation: Analysis of joint applications for patent in the Universit

ISSN NII Technical Report Patent application and industry-university cooperation: Analysis of joint applications for patent in the Universit ISSN 1346-5597 NII Technical Report Patent application and industry-university cooperation: Analysis of joint applications for patent in the University of Tokyo Morio SHIBAYAMA, Masaharu YANO, Kiminori

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information