スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1

2 LER/LWR 2004 UPDATE LER/LWR 2

3 1. LER/LWR 2. * * * Lithography/PIDS/Interconnect 5. 3

4 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR) LER L y µ 4

5 2-1. Transistor Gate W g Source Drain Large I off Short L g Long L g L g 5

6 ~ ~ µ µ 6

7 3-1. µ µ 7

8 3-2. L µ µ µ µ µ 3 m CD,LWR 8

9 3-3. LWR CD Spatial-frequency distribution Fluctuating line width Amplitude ~1/f m f 0 ~1/f Inverse Fourier transform Line Width L CD 1 CD 2 Parameter: m, f 0 Y-Distance (nm) f (µm -1 ) LWR 1 LWR 2 Set phase randomly LWR distribution CD-value distribution σ Average LWR CD variation (3σ) 9

10 3-4. LWR CD L / µ µ σ L=40µm LWR LWR L=2µm 10

11 3-5. LWR LWR LWR M LWR ~ R(M, i) (i=1,2,...g) σ ~ ~ ~ LWR σ p: LWR M 11

12 3-6. LWRM M LWR µ µ LWR L x M LWR L x M 2 µm 2µm 12

13 3-7. y y (=1.318 nm) LER y( nm) σ σ y Error σ( y) = σ nm 3σ 5% 0.05 L = 2 µm 0 y = 10 nm L=2 µm y (nm) 13

14 3-8. LER/LWR Ly 2 m 10nm LER/LWR L y L=2 m, y=10nm SEMI 14

15 4. 04/5 WG5&11 SEMI TF 04/6 04/8 04/10WG5, 6&11 (PIDS) CD LWR 04/11/30-12/1 ITRS-Tokyo meeting (LWR) (LER) 05/1 WG5&11 LER WG5&11 SEMI 2µm 2µm WG4, 5&11 TDDB 2 2µm 10nm 15

16 WG4( TDDB (2003) Noguchi(Hitachi) LER Cu LWR TDDB WG4 WG11 16

17 5. LER/LWR STRJ WG5 WG6 PIDS) WG11 ITRS Litho PIDS Metrology STRJ WG4 WG11) ITRS ITRS04/12 LER/LWR 2µ 10nm Lithography Table78a LWR SEMI 17

18 References (1) P. Oldiges, Q. Lin, K. Petrillo, M. Sanchez, M. Ieong, and M. Hargrove, Digest of SISPAD 2000, (2000)131. (2) K. K. Young, S. Y. Wu, C. H. Wang, C. T. Lin, J. Y. Cheng, M. Chiang, S. H. Chen, T. C. Lo, Y. S. Chen, J. H. Chen, L. J. Chen, S. Y. Hou, J. J. Liaw, T. E. Chang, C. S. Hou, J. Shih, S. M. Jeng, H. C. Hsieh, Y. Ku, T. Yen, H. Tao, L. C. Chao, S. Shue, S. M. Jang, T. C. Ong, C. H. Yu, M. S. Liang, C. H. Diaz, and J. Y. C. Sun, IEDM Tech. Dig., 2000 (2000)563. (3) C. H. Diaz, H. Tao, Y. Ku, A. Yen, and K. Young, IEEE Electron Device Letters, 22, (2001)287. (4) S. Xiong, J. Bokor, Q. Xiang, P. Fisher, I. Dudley, and P Rao, Proc. SPIE 4689, (2002)733. (5) S. Xiong, J. Bokor, Q. Xiang, P. Fisher, I. Dudley, P. Rao, H. Wang, and B. En, IEEE Trans. Semiconductor Manufacturing 17, (2004)357. (6) T. Linton, M. Chandhok, B. J. Rice, and G. Schrom, IEDM Tech. Dig., 2002 (2002)303. (7) J. A. Croon, G. Storms, S. Winkelmeier, I. Pollentier, M. Ercken, S. Decoutere, Q. Sansen, and H. E. Maes, IEDM Tech. Dig., 2002 (2002)307. (8) G. Eytan, O. Dror, L. Ithier, B. Florin, Z. Lamouchi, and N. Martin, Proc. SPIE, 4689, (2002)

19 References (9) A. Yamaguchi, R. Tsuchiya, H. Fukuda, O. Komuro, H. Kawada, and T. Iizumi, Proc. SPIE 5038, (2003)689. (10) A. Yamaguchi, K. Ichinose, S. Shimamoto, H. Fukuda, R. Tsuchiya, K. Ohnishi, H. Kawada, and T. Iizumi, Proc. SPIE 5375, (2004)468. (11) J. Y. Lee, J. Shin, H. W. Kim, S. G. Woo, H. K. Cho, W. S. Han, and J. T. Moon, Proc. SPIE 5376, (2004)426. (1) T. Marschner, A. Lee, S. Fuchs, L. Volkel, and C. Stief, Proc. SPIE, 5375, (2004)477. (2) B. D. Bunday, M. Bishop, D. McCormack, J. S. Villarrubia, A. E. Vladar, R. Dixon, T. Vorburger, and N. G. Orji, Proc. SPIE, 5375, (2004)

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

スライド 1

スライド 1 モデリング & シミュレーションの 現状と経済的効果 モデリング / シミュレーション WG (WG10) 佐藤成生青木伸俊 國清辰也 木村光紀 泉直希 麻多進 海本博之 林洋一 藤原秀二 西尾修 中村光利 小方誠司 和田哲典 佐野伸行 三浦道子 大野隆央 谷口研二 小谷教彦 STRJ WS: March 6, 2009, WG10 1 構成メンバー 氏名組織役割主担当領域 佐藤成生 富士通マイクロエレクトロニクス主査

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

01 02 04 06 08 10 12 14 15 16 17 18 20 22 24 26 27 28 29 30 30 31 31 31 32 32 33 33 34 35 3638 39 40

01 02 04 06 08 10 12 14 15 16 17 18 20 22 24 26 27 28 29 30 30 31 31 31 32 32 33 33 34 35 3638 39 40 01 02 04 06 08 10 12 14 15 16 17 18 20 22 24 26 27 28 29 30 30 31 31 31 32 32 33 33 34 35 3638 39 40 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 39 40

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

1946 1,

1946 1, 1955 2000 1946 1,000 21 2001 1946 3 1949 1965 36 1970 1987 21 (Shin Sok-ho) (Kim Song-gyun) (Kim Song-gyun) (Choi Yong-hee) (Lee Hyong-jong) (Park Yong-sok) (Lee Won-sun) (Lee Song-mu) 1949.3 1965.2 1965.2.20

More information

untitled

untitled WG 2013 P P P P WG WG P WG 12 12 P P20 200 200 200 200 2011 2012 2012 11 1 2 21 2 2 1 2 2 2 2 1 2 1 2 11 720,450(8.0) 37,845(0.4) 4) 37.7 7 5.4 0.1 8,299,154(91.6)

More information

untitled

untitled 19 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29 - -

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

核49index.indd

核49index.indd 1 49 ア 29, 32, 297, 297, 404, 411 406 218, 227, 266, 405 270 297 28 235 257 278 234 277 220 64 80, 232, 424 223, 246, 263, 431 228, 230, 257 250 249 269, 276 30, 222, 226, 246, 417 138 73, 75, 219, 261,

More information

Keywords: hot carrier, submicron device, MOSFET, reliability, trap, interface states, lucky electron, electron temperature Hot Carrier Effects in MOS

Keywords: hot carrier, submicron device, MOSFET, reliability, trap, interface states, lucky electron, electron temperature Hot Carrier Effects in MOS Keywords: hot carrier, submicron device, MOSFET, reliability, trap, interface states, lucky electron, electron temperature Hot Carrier Effects in MOS Devices. Mitsu masa KOYANAGI. Research Center for Inte

More information

1

1 3 Photonics Technologies 3-1 Optical Modulators for Photonic Sideband Management KAWANISHI Tetsuya and IZUTSU Masayuki In this paper, we presented our recent works on development of optical modulators

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3)

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3) 72 12 2016 pp. 777 782 777 * 43.60.Pt; 43.38.Md; 43.60.Sx 1. 1 2 [1 8] Flexible acoustic interface based on 3D sound reproduction. Yosuke Tatekura (Shizuoka University, Hamamatsu, 432 8561) 2. 2.1 3 M

More information

66~ 274~600 ~26,948 ~961. ~ 66~ 69~ ~ ~53

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

17. (1) 18. (1) 19. (1) 20. (1) 21. (1) (3) 22. (1) (3) 23. (1) (3) (1) (3) 25. (1) (3) 26. (1) 27. (1) (3) 28. (1) 29. (1) 2

17. (1) 18. (1) 19. (1) 20. (1) 21. (1) (3) 22. (1) (3) 23. (1) (3) (1) (3) 25. (1) (3) 26. (1) 27. (1) (3) 28. (1) 29. (1) 2 1. (1) 2. 2 (1) 4. (1) 5. (1) 6. (1) 7. (1) 8. (1) 9. (1) 10. (1) 11. (1) 12. (1) 13. (1) 14. (1) 15. (1) (3) 16. (1) 1 17. (1) 18. (1) 19. (1) 20. (1) 21. (1) (3) 22. (1) (3) 23. (1) (3) 24. 1 (1) (3)

More information

二国間交流事業セミナー報告書 ( 様式 5) 平成 29 年 3 月 14 日 独立行政法人日本学術振興会理事長殿 セミナー代表者所属 部局 大阪府立大学 工学研究科 ( ふりがな ) こじまちえ 職 氏名 准教授 児島千恵 1. 事業名相手国名 ( 韓国 ) とのセミナー ( 振興会対応機関 :

二国間交流事業セミナー報告書 ( 様式 5) 平成 29 年 3 月 14 日 独立行政法人日本学術振興会理事長殿 セミナー代表者所属 部局 大阪府立大学 工学研究科 ( ふりがな ) こじまちえ 職 氏名 准教授 児島千恵 1. 事業名相手国名 ( 韓国 ) とのセミナー ( 振興会対応機関 : 二国間交流事業セミナー報告書 ( 様式 5) 平成 29 年 3 月 14 日 独立行政法人日本学術振興会理事長殿 セミナー代表者所属 部局 大阪府立大学 工学研究科 ( ふりがな ) こじまちえ 職 氏名 准教授 児島千恵 1. 事業名相手国名 ( 韓国 ) とのセミナー ( 振興会対応機関 : NRF ) 2. セミナー名次世代の光関連科学に関するジョイントシンポジウム : 材料から応用まで 3.

More information

宿泊産業活性化のための実証実験

宿泊産業活性化のための実証実験 121 32 10 12 12 19 2 15 59 40 33 34 35 36 37 38 3637 20 39 12 19 OFF 2008/12/19 2008/12/25 3 1 1 72,000 2008/12/19 2008/12/26 2 1 1 36,000 2008/12/28 2009/1/5 2 1 1 24,000 2009/1/6 2009/1/16 3 1 1 25,200

More information

901 902 2 40 5 786 30 2 2 100 10100200 903 904 2 3 2 12 905 6765 30 3 61016 1 10162532 253240 2 2 1 2 100 24 45 545 1 2 2 510 1515 1010 50 300 0 10 2942 560 2 1 1 2 24 15 2565 2 10 2942 560 3 3 56 03 18

More information

1037 1038 2 40 5 876 30 2 2 100 10100200 1039 1040 2 3 2 12 1041 6765 30 1 1 2 2 1 2 100 24 45 545 1 2 2 510 1515 1010 50 300 0 10 2942 560 2 1 3 2 10 2942 560 3 61016 1 10162532 253240 1 2 24 15 2565

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Drain Voltage (mv) 4 2 0-2 -4 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) Vds [V] 0.2 0.1 0.0-0.1-0.2-10 -8-6 -4-2 0 Vgs [V] 10 1000 1000 1000 1000 (LSI) Fe Catalyst Fe Catalyst Carbon nanotube 1~2 nm

More information

著者名索引

著者名索引 1 S37 S71 251 144 271, 285 264 257, 259, 396 326, 327 310 247, 260, 261 275, 278 51, 271, 296, 298 402 51, 271, 296, 298, 379 145 S57 109 383 320 316 270, 287, 292, 320 46 127 273, 317 301 271 251, 296

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

IPSJ-CVIM

IPSJ-CVIM 1 1 2 1 Estimation of Shielding Object Distribution in Scattering Media by Analyzing Light Transport Shosei Moriguchi, 1 Yasuhiro Mukaigawa, 1 Yasuyuki Matsushita 2 and Yasushi Yagi 1 In this paper, we

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

1 1(a) MPR 1(b) MPR MPR MPR MPR MPR 2 1 MPR MPR MPR A MPR B MPR 2 MPR MPR MPR MPR MPR GPS MPR MPR MPR 3. MPR MPR 2 MPR 2 (1) (4) Zai

1 1(a) MPR 1(b) MPR MPR MPR MPR MPR 2 1 MPR MPR MPR A MPR B MPR 2 MPR MPR MPR MPR MPR GPS MPR MPR MPR 3. MPR MPR 2 MPR 2 (1) (4) Zai Popular MPR 1,a) 2,b) 2,c) GPS Most Popular Route( MPR) MPR MPR MPR MPR MPR MPR MPR Popular Popular MPR MPR Popular 1. GPS GPS GPS Google Maps *1 Zaiben [1] Most Popular Route( MPR) MPR MPR MPR 1 525 8577

More information

untitled

untitled 18 2 1 1.1 1.2 2 (SEU) 3 3.1 SEU 3.1.1 Barak 3.1.2 Barak 3.2 Weibull SEU 10 4 SEU 12 4.1 12 4.2 Calvel 15 4.2.1 Barak Barak 15 4.2.2 Barak Barak 19 4.3 USEF 25 4.3.1 USEF Weibull 25 4.3.2 Barak Barak 26

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

LED a) A New LED Array Acquisition Method Focusing on Time-Gradient and Space- Gradient Values for Road to Vehicle Visible Light Communication Syunsuk

LED a) A New LED Array Acquisition Method Focusing on Time-Gradient and Space- Gradient Values for Road to Vehicle Visible Light Communication Syunsuk VOL. J97-B NO. 7 JULY 2014 本 PDF の扱いは 電子情報通信学会著作権規定に従うこと なお 本 PDF は研究教育目的 ( 非営利 ) に限り 著者が第三者に直接配布することができる 著者以外からの配布は禁じられている LED a) A New LED Array Acquisition Method Focusing on Time-Gradient and Space-

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad SuperKEKB EMITTANCE GROWTH BY MISALIGNMENTS AND JITTERS IN SUPERKEKB INJECTOR LINAC Y. Seimiya, M. Satoh, T. Suwada, T. Higo, Y. Enomoto, F. Miyahara, K. Furukawa High Energy Accelerator Research Organization

More information

取扱説明書 [F-06E]

取扱説明書 [F-06E] F-06E 3.6 2 3 4 5 6 7 8 9 0 2 3 4 5 6 a b c d a b c d 7 a b cd e a b c 8 d e 9 20 a b b a a b 2 22 b a c 23 d 24 a b c d e f g h l m n o p i j k ku v w q r s t x y a b c d e f g h i j k l m n o p q

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

核52索引.indd

核52索引.indd 1 52 ア, 305, 269, 270, 299, 404 254 381 73, 311 253, 269, 270, 404 254 288 391 28, 382 295 353 283, 390 71 403 284 16 306, 383 391 253, 258, 279, 391 259 3 26 28, 382 66, 67 1, 269, 290 70, 277 198 306,

More information

Agilent 4339B High Resistance Meter Operation Manual

Agilent 4339B High Resistance Meter Operation Manual MANUAL SUPPLEMENT 3-2 3-2 Agilent 1 3-2 P/N 16000-99023 Print Date: October 2001 PRINTED IN JAPAN Copyright Agilent Technologies Japan, Ltd. 2001 1-3-2, Murotani, Nishi-ku, Kobe-shi, Hyogo, 651-2241 JAPAN

More information

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 Encore SSIS 10 c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 SSIS NOSIDE PR SSIS SSIS PR 2000 5SSIS SSIS 1 2001 5 8 3 2004 SSIS 1 2 SSIS 24 SSISPR

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

IPSJ SIG Technical Report Vol.2012-CVIM-182 No /5/ RGB [1], [2], [3], [4], [5] [6], [7], [8], [9] 1 (MSFA: Multi-Spectrum Filt

IPSJ SIG Technical Report Vol.2012-CVIM-182 No /5/ RGB [1], [2], [3], [4], [5] [6], [7], [8], [9] 1 (MSFA: Multi-Spectrum Filt 1 1 1 1 1. 4 3 RGB [1], [2], [3], [4], [5] [6], [7], [8], [9] 1 (MSFA: Multi-Spectrum Filter Array) 1 [8], [9] RGB 1 Tokyo Institute of Technology 1 [10], [11], [12], [13], [14] [15] Parmar Wiener RGB

More information

PowerPoint Presentation

PowerPoint Presentation 1 Litho 計測の現状と課題 ( 計測 WG) & 故障解析 SWG 活動状況報告 2 Litho 計測の現状と課題 ( 計測 WG) メンバー 河村栄一 ( 富士通マイクロエレクトロニクス ) 山崎裕一郎 ( 東芝 ) 上澤史且 (SONY) 清水澄人 ( パナソニック ) 横田和樹 (NECEL) 市川昌和 ( 東京大学 ) 水野文夫 ( 明星大学 ) 小島勇夫 ( 産総研 ) 池野昌彦 (

More information

mpctouch_userguide_v1.0-1

mpctouch_userguide_v1.0-1 [ WEB ] 7 7 7 7 8 9 10 11 5 4 6 1 4 4 2 12 13 14 15 16 17 4 3 23 21 22 2018 19 17 x2 2 1 3 4 6 7 8 5 9 10 11 Parameter

More information

パナソニック技報

パナソニック技報 67 Next-generation Power Switching Devices for Automotive Applications: GaN and SiC Tetsuzo Ueda Yoshihiko Kanzawa Satoru Takahashi Kazuyuki Sawada Hiroyuki Umimoto Akira Yamasaki GaNSiCGaNSiGate Injection

More information

RSS (dbm) cm 1cm 2cm 3cm 4cm 5cm Time (sec) rss [dbm] 6 7 BLE beacon Random Forest!!! time [msec] Receiver 2 RSS F

RSS (dbm) cm 1cm 2cm 3cm 4cm 5cm Time (sec) rss [dbm] 6 7 BLE beacon Random Forest!!! time [msec] Receiver 2 RSS F マルチメディア, 分散, 協調とモバイル (DICOMO218) シンポジウム 平成 3 年 7 月 Bluetooth Low Energy 1,a) 1 1 2 1 Bluetooth Low Energy BLE BLE Received Signal Strength RSS RSS 2 BLE RSS RSS 2.85 cm Proposal of Water-Level Estimation

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 61 回応用物理学会 青山学院大学相模原キャンパス 春季学術講演会 2014 年 3 月 18 日 ( 火曜日 ) La 2 O 3 /InGaAs 界面ラフネスに及ぼす ALD プロセスの影響 Impact of ALD process on La 2 O 3 /InGaAs interface roughness 大嶺洋 1,Dariush Hassan Zadeh 1, 角嶋邦之 2, 片岡好則

More information

2 Part A B C A > B > C (0) 90, 69, 61, 68, 6, 77, 75, 20, 41, 34 (1) 8, 56, 16, 50, 43, 66, 44, 77, 55, 48 (2) 92, 74, 56, 81, 84, 86, 1, 27,

2 Part A B C A > B > C (0) 90, 69, 61, 68, 6, 77, 75, 20, 41, 34 (1) 8, 56, 16, 50, 43, 66, 44, 77, 55, 48 (2) 92, 74, 56, 81, 84, 86, 1, 27, / (1) (2) (3) ysawano@tmu.ac.jp (4) (0) (10) 11 (10) (a) (b) (c) (5) - - 11160939-11160939- - 1 2 Part 1. 1. 1. A B C A > B > C (0) 90, 69, 61, 68, 6, 77, 75, 20, 41, 34 (1) 8, 56, 16, 50, 43, 66, 44,

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

2 (March 13, 2010) N Λ a = i,j=1 x i ( d (a) i,j x j ), Λ h = N i,j=1 x i ( d (h) i,j x j ) B a B h B a = N i,j=1 ν i d (a) i,j, B h = x j N i,j=1 ν i

2 (March 13, 2010) N Λ a = i,j=1 x i ( d (a) i,j x j ), Λ h = N i,j=1 x i ( d (h) i,j x j ) B a B h B a = N i,j=1 ν i d (a) i,j, B h = x j N i,j=1 ν i 1. A. M. Turing [18] 60 Turing A. Gierer H. Meinhardt [1] : (GM) ) a t = D a a xx µa + ρ (c a2 h + ρ 0 (0 < x < l, t > 0) h t = D h h xx νh + c ρ a 2 (0 < x < l, t > 0) a x = h x = 0 (x = 0, l) a = a(x,

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

bron.dvi

bron.dvi 1p 76p 12 2 4 80238 1 1 7 1.1... 8 1.1.1... 8 1.1.2... 8 1.1.3... 9 1.2... 10 1.3... 10 2 11 2.1... 12 2.2... 13 2.2.1 (SEM)... 13 2.2.2... 14 2.2.3... 17 2.2.4 SEM 3... 17 2.3... 19 2.3.1... 19 2.3.2...

More information

レビューテキストの書き の評価視点に対する評価点の推定 29 3

レビューテキストの書き の評価視点に対する評価点の推定 29 3 JAIST Reposi https://dspace.j Title レヒ ューテキストの書き手の評価視点に対する評価 点の推定 Author(s) 張, 博 Citation Issue Date 2017-03 Type Thesis or Dissertation Text version author URL http://hdl.handle.net/10119/14154 Rights

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. P2P

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. P2P THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. P2P 337-87 37 E-mail: {m199,miyoshi}@shibaura-it.ac.jp, olivier.fourmaux@upmc.fr P2P P2P ISP Internet Service

More information

untitled

untitled ( ) (mm) (GHz)=300( ) 30 300GHz=1 10mm ( 2GHz2Mbps) Gbps= Mbps ( m),? S G=P/Pi30dB=1000 Gm=4πS/λ 2, S= 80λ 2 Gm=30dB η=g/gm, S= 80λ 2,G=27dB η=50% (GHz) 80 70 60 50 40 30 20 10 16 19 22 25 28 31 34 37

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

179Ł\”ƒ

179Ł\”ƒ 2001 6 BGA/CSPSIP Series http://www.rlz.co.jp No.179 C o n t e n t s Feature... 2 Special Issue BGA/CSPSIP... 4... 8... 12, *,, * Series 1... 17 Challenge of Intelligence for Future BREAK THROUGH 2001.6

More information

3B11.dvi

3B11.dvi Siripatanakulkhajorn Sakchai Study on Stochastic Optimal Electric Power Procurement Strategies with Uncertain Market Prices Sakchai Siripatanakulkhajorn,StudentMember,YuichiSaisho, Student Member, Yasumasa

More information

読めば必ずわかる 分散分析の基礎 第2版

読めば必ずわかる 分散分析の基礎 第2版 2 2003 12 5 ( ) ( ) 2 I 3 1 3 2 2? 6 3 11 4? 12 II 14 5 15 6 16 7 17 8 19 9 21 10 22 11 F 25 12 : 1 26 3 I 1 17 11 x 1, x 2,, x n x( ) x = 1 n n i=1 x i 12 (SD ) x 1, x 2,, x n s 2 s 2 = 1 n n (x i x)

More information

XV-Z10000(J)Ł\1-4.p65

XV-Z10000(J)Ł\1-4.p65 http://www.sharp.co.jp/ 4 2-JW age 2 2..5, :42 2 age 2 2..5, :44 3 age 3 2..5, :44 4 2..5, :44 age 4 5 2..5, :44 age 5 6 2..5, :44 age 6 7 2..5, :44 age 7 8 age 8 2..5, :45 75 76 9 age 9 2..5, :45 4 4

More information

I II III IV V

I II III IV V I II III IV V N/m 2 640 980 50 200 290 440 2m 50 4m 100 100 150 200 290 390 590 150 340 4m 6m 8m 100 170 250 µ = E FRVβ β N/mm 2 N/mm 2 1.1 F c t.1 3 1 1.1 1.1 2 2 2 2 F F b F s F c F t F b F s 3 3 3

More information

2.R R R R Pan-Tompkins(PT) [8] R 2 SQRS[9] PT Q R WQRS[10] Quad Level Vector(QLV)[11] QRS R Continuous Wavelet Transform(CWT)[12] Mexican hat 4

2.R R R R Pan-Tompkins(PT) [8] R 2 SQRS[9] PT Q R WQRS[10] Quad Level Vector(QLV)[11] QRS R Continuous Wavelet Transform(CWT)[12] Mexican hat 4 G-002 R Database and R-Wave Detecting System for Utilizing ECG Data Takeshi Nagatomo Ikuko Shimizu Takeshi Ikeda Akio Sashima Koichi Kurumatani R R MIT-BIH R 90% 1. R R [1] 2 24 16 Tokyo University of

More information

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1 主要略語一覧 (Glossary) ERD: Emerging Research

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

untitled

untitled + From Tradeoffs of Receive and Transmit Equalization Architectures, ICC006,Bryan Casper, Intel Labs Transmitter Receiver 0 magnitude (db) 0 0 30 40 50 60 0 4 frequency (GHz). Receiver Transmitter FFE

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

H22 BioS (i) I treat1 II treat2 data d1; input group patno treat1 treat2; cards; ; run; I

H22 BioS (i) I treat1 II treat2 data d1; input group patno treat1 treat2; cards; ; run; I H BioS (i) I treat II treat data d; input group patno treat treat; cards; 8 7 4 8 8 5 5 6 ; run; I II sum data d; set d; sum treat + treat; run; sum proc gplot data d; plot sum * group ; symbol c black

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

0A_SeibutsuJyoho-RF.ppt

0A_SeibutsuJyoho-RF.ppt A ON-Center OFF-Center DeAngelis, Ohzawa, Freeman 1995 Nobel Prize 1981: Physiology and Medicine D.H. Hubel and T.N. Wiesel T.N. Wiesel D.H. Hubel V1/V2: (spikes) Display? Amplifiers and Filters V1 - simple

More information

IDW 07 Correlation Analysis between Motion Blur Widths and Human Perception Keita Hirai, Norimichi Tsumura, Toshiya Nakaguchi and Yoichi Miyake Abstra

IDW 07 Correlation Analysis between Motion Blur Widths and Human Perception Keita Hirai, Norimichi Tsumura, Toshiya Nakaguchi and Yoichi Miyake Abstra IDW 07 Correlation Analysis between Motion Blur Widths and Human Perception Keita Hirai, Norimichi Tsumura, Toshiya Nakaguchi and Yoichi Miyake Abstract We examined the validity of perceived blur edge

More information

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP 平成 27 年度 TSC Foresight セミナー ( 第 3 回 ) < 第 3 部パネルディスカッション > 人工知能 ロボットと電子 情報技術が織り成す新たな社会像 - コンピューティング技術 IoT 技術の進展による新たな産業革命ー 国立研究開発法人新エネルギー 産業技術総合開発機構 技術戦略研究センターフェロー 2015 年 11 月 12 日 中屋雅夫 パネリスト モデレータ パネリスト

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

The University of Tokyo Magazine t a n s e i /10 TOPICS 21 COE ] in Seoul 1 ] in Seoul 2 ] 15

The University of Tokyo Magazine t a n s e i /10 TOPICS 21 COE ] in Seoul 1 ] in Seoul 2 ] 15 The University of Tokyo Magazine t a n s e i 20 2007/10 TOPICS 21COE ] in Seoul 1 ] in Seoul 2 ] 15 2 The University of Tokyo Magazine t a n s e i 20 2007/10 130 1920 20 130 UT index p.3-18 [] 130 p.19

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

5b_08.dvi

5b_08.dvi , Circularly Polarized Patch Antennas Combining Different Shaped Linealy Polarized Elements Takanori NORO,, Yasuhiro KAZAMA, Masaharu TAKAHASHI, and Koichi ITO 1. GPS LAN 10% [1] Graduate School of Science

More information