国際学会の技術トレンドを読み解く ~過去・現在・未来~

Size: px
Start display at page:

Download "国際学会の技術トレンドを読み解く ~過去・現在・未来~"

Transcription

1 国際学会の技術トレンドを読み解く ~ 過去 現在 未来 ~ ESL(Electronic System Level) における技術トレンド 藤田昌宏東京大学 大規模集積システム設計教育研究センター

2 対象国際会議 (ELS 検証 テスト ) 総合国際会議 : ASP-DAC, DAC, ICCAD, DATE 規模が大きく 多数の人が集まり 採択されることに意義 逆に言うと 範囲が広く 焦点がぼけ 専門家が皆来るとは限らない 分野間の情報交換には必須 お披露目的な発表も多く 詳細や発展は分野別国際会議へという場合もある ( または その逆 ) 分野別国際会議 規模は100 名程度が多く 焦点がはっきりし 専門家はほぼ全員参加 専門家ばか的なことも無い訳ではない 分野内の情報交換には必須 ESL 高位合成: CODES/ISSS(ESWEEK) 検証 : FMCAD, CAV, MEMOCODE テスト : VTS, ITC, ATS

3 全体としての研究の流れ ( 研究対象 ) 高位合成 ( 研究は 1970 年代から ) スケジューリング アロケーション手法等の改良 レイアウト考慮 パイプライン化 ( 自動並列化 ) 3D IC 指向 ECO 指向 FPGA 向け等 ( 次ページ ) システムレベル設計支援 ( 主に 1990 年代から ) HW/SW 協調設計シミュレーション エミューレション環境 HW/SW 分割支援 IP 再利用技術 Many/multi core 向け設計支援

4 最近の動向 高位合成 システムレベル 3 次元 IC 向け インクリメンタル ECO パッチ可能 FPGA 向け ばらつき 信頼性 ディペンダビリティ 非同期回路向け Many/multi-core システム NoC 向け 高位検証 Abstraction and refinement C 言語検証 仕様抽出 HW/SW 協調シミュレーション エミューレション 高位テスト 高位からの ATPG ポストシリコン関連 フォールトトレラントシステム

5 3 次元 IC 向け X. Dong and Y. Xie. System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs). In ASP-DAC, 2009.(Pennsylvania State University) 3D-IC 向けシステムレベルの面積 製造コストのモデルを提案 設計空間探索問題が 2D-IC と同じように可能となる ASP-DAC 2009 の Best Paper Nominee で Y. Xie という人は有名 Qiaosha Zou, Yibo Chen, Yuan Xie, Alan Su. System-level design space exploration for three-dimensional (3D) SoCs ,CODES+ISSS 2011(Pennsylvania State University) 上記論文がモデル中心なのに対して この論文では手法 リソースアロケーション 3D 層へのマッピング 電力 熱の解析を繰り返し行いながら最適化 Chi-Hung Lin, Wen-Tsan Hsieh, Hsien-Ching Hsieh, Chun-Nan Liu, Jen-Chieh Yeh. System-level design exploration for 3-D stacked memory architectures , CODES+ISSS 2011 ( 台湾 Industrial Technology Research Institute) 3D-IC ではメモリの配置を工夫すると 2D の場合よりも大幅に転送帯域を向上できる 様々なメモリ構成の探索を行う手法を提案している

6 インクリメンタル ECO パッチ可能 L. Lavagno, A. Kondratyev, Y. Watanabe, Q. Zhu, M. Fujii, M. Tatesawa, N. Nakayama: Incremental high-level synthesis ASPDAC 2010 (Cadence/ ルネサス / 富士通 ) インクリメンタル高位合成の論文としてはほぼ初めての論文 設計記述の差分に基づいてインクリメンタルに変更を行うのではなく 最初からすべてやり直す 前回の合成時の決定事項 ( 演算 A に対して タイムステップ T にスケジューリングし 演算器 F を割り当てた等 ) を覚えておき 同じ演算 ( 名前で判断する ) に対しては同じスケジューリング バインディングを行うことで似た結果を出そうと試みている H. Yoshida, M. Fujita: An energy-efficient patchable accelerator for post-silicon engineering changes. CODES+ISSS 2011( 東京大学 ) 高位合成と同じように C プログラムから専用アクセラレータを合成する 機能変更後の C プログラムから自動的にパッチをコンパイル FPGA や組み込みプロセッサは電力効率が ASIC の数十倍悪いが パッチ可能アクセラレータは 10% 程度の効率低下で製造後機能修正が可能

7 FPGA 向け Deming Chen, Jason Cong, Yiping Fan, Zhiru Zhang: High-Level Power Estimation and Low-Power Design Space Exploration for FPGAs , ASPDAC 2007 (UIUC/UCLA) ASIC に比べ FPGA ではブロック RAM や DSP ブロックなど特殊な機能ブロックがあるので それを考慮した高位合成手法 手法そのものよりも消費電力 性能モデルに新規性があると思われる 従来手法より 32% の電力削減 16% の性能向上 システムレベルとの連携 A. Canis, J. Choi, M. Aldham, V. Zhang, A. Kammoona, J.H. Anderson, S. Brown, T. Czajkowski, "LegUp: High-level synthesis for FPGA-based processor/accelerator systems," ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA), pp , Monterey, CA, February 2011 (University of Toronto) FPGA チップのアーキテクチャを強く意識した合成手法

8 ばらつき 信頼性 ディペンダビリティ Jongyoon Jung, Taewhan Kim: Timing variation-aware high-level synthesis , ICCAD 2007 タイミングばらつきを考慮した高位合成手法の初期の論文 手法としては特に面白いところはなく 指定された性能歩留まり ( 性能制約を満たすチップの割合 ) 制約を満たすようにスケジューリング バインディングを工夫する Feng Wang, Guangyu Sun, Yuan Xie: A Variation Aware High Leve Synthesis Framework , DATE 2008 Pennsylvania State University によるばらつきを考慮した高位合成手法の論文 特に消費電力のばらつきも考慮しているところが貢献 一つのリソースを集中して使用するのではなく 分散して使用することで性能歩留まりと電力歩留まり ( 電力制約を満たすチップの割合 ) を改善する

9 ばらつき 信頼性 ディペンダビリティ Jason Cong, Albert Liu, Bin Liu: A variation-tolerant scheduler for better than worst-case behavioral synthesis , CODES+ISSS 2009 UCLA Jason Cong グループによるばらつきを考慮した高位合成手法 Stallable-FSM と呼ぶコントローラを用いて タイミングエラー検出時にはやり直すことで ワーストケースよりも良い性能が出せる 実験では 30% から 40% の性能向上ができることを示している Gregory Lucas, Deming Chen: Variation-aware layout-driven scheduling for performance yield optimization , ICCAD 2010 UIUC による性能歩留まりを考慮した高位合成手法 タイミングに余裕をもたせるようにスケジューリングすることで性能歩留まりを向上 スケジューリングは整数線形計画法で定式化されており 設計空間探索した後 制約を満たしかつ最大の性能歩留まりを持つ設計を決定

10 非同期回路向け John Hansen, Montek Singh: An energy and power-aware approach to high-level synthesis of asynchronous systems , ICCAD 2010 Univ. of North Carolina at Chapel Hill による非同期回路向け高位合成手法 同期回路向けの高位合成では クロック単位でスケジューリングを行うが 非同期回路ではクロックが必要ないため スケジューリングをイベントドリブンで行う 90 年代から非同期回路向け高位合成は提案されているが 厳密手法の提案は初めてであると主張

11 システムレベルとの連携 Bita Gorjiara, Daniel Gajski: Automatic architecture refinement techniques for customizing processing elements. DAC 2008: HW/SW 協調設計と高位合成の間を埋める Nanocodeベースと呼ぶ手法で 与えられたデータパス上で合成する 高位で性能見積もりが素早く 精度高くできる

12 高位検証 Abstraction and refinement Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke: VCEGAR: Verilog CounterExample Guided Abstraction Refinement. TACAS 2007: 最近の主流の 1 つである 抽象化とその改良による計 s 器的検証手法の Verilog への応用 解析時の規模を減らすために 抽象化 (abstraction) は必須 性能評価への応用 ( 形式的手法による性能見積もり ) Daniel Holcomb, Bryan Brady, and Sanjit A. Seshia. Abstraction-Based Performance Analysis of NoCs. In Proceedings of the Design Automation Conference (DAC), pp , June 2011

13 高位検証 C 言語検証 M. K. Ganai and A. Gupta, Tunneling and Slicing: Towards Scalable BMC, in Proc. Design Automation Coference (DAC), June 8-13, 2008, Anaheim, CA, USA. NEC のグループによる C 言語形式検証に関する多数の発表の 1 つ C 言語記述に対する形式的検証技術 ツールという意味では おそらく最も進んでいるものの 1 つ Abstraction, range analysis など多様な技術を開発している 数万行程度まで検証可能となってきている

14 高位検証 仕様抽出 Wenchao Li, Alessandro Forin, and Sanjit A. Seshia. Scalable Specification Mining for Verification and Diagnosis. In Proceedings of the Design Automation Conference (DAC), pp , June アサーションベース検証の有効性は知られているが モデルチェッキングと同じで アサーションが十分用意できない場合も多い シミュレーション結果 ( 実行結果 ) から設計に対するアサーションのを自動生成 クロックごとの動作ではなく トランザクションごとの動作に注目することで 比較的長いシーケンスに対応可能 ソフトウェアに対する同様の提案は 1995 年くらいから 似たような機能を実際にツール化し販売している企業もある

15 高位検証 HW/SW 協調シミュレーション エミューレション Chung-Yang Huang, Yu-Fan Yin, Chih-Jen Hsu, Thomas B. Huang, Ting-Mao Chang: SoC HW/SW verification and validation. ASP-DAC 2011 HW,SW 全てを SystemC で記述 シミュレーション可能にし システム全体の高速なエミュレーション環境を PC 上に実現 プロセッサの動作もトレースベースの手法で最適化し 高速エミュレーション環境を実現 ある程度の規模のSoC 全体のエミュレーションを提供できる手法の提案とその実現例

16 高位テスト 高位からの ATPG Bijan Alizadeh, Masahiro Fujita: Guided gate-level ATPG for sequential circuits using a high-level test generation approach. ASP-DAC 2010: ( 東京大学 ) ゲートレベルからではなく RTL や C 言語設計記述からテストパターンを生成 生成されたテストパターンの品質は従来通り ゲートレベルのカバレッジで評価 1990 年代から研究が進められてきているが 最近 テスト効率化のたま注目されている 実際の設計への適用やその評価はこれから

17 ポストシリコン関連 Flavio M. de Paula, Amir Nahir, Ziv Nevo, Avigail Orni, Alan J. Hu: TAB-BackSpace: unlimited-length trace buffers with zero additional on-chip overhead. DAC 2011: チップを製造し 実際に実行中に発見されるエラーやバグの効率的なデバッグ手法 実際に企業の設計に適用し 良好な結果を得ている 従来から提案されている手法をベースに効率的な適用法を提案 ポストシリコン検証 デバッグは現在最もホットなトピックの 1 つで 他にも様々な提案がある

reply_letter

reply_letter 条件付採録に対する回答文 投稿論文番号 :2012JDP7055 ご査読に際し, 貴重なご指摘とご意見を頂きありがとうございました. 採録条 件に対する回答と, 採録条件を満たすために, 投稿論文を加筆, 修正した点に ついて, ご説明致します. 採録条件 本論文では, 下記の点について新規性が主張されています. Nov1) タスク処理内容をプログラム形式で抽象的に記述することにより, 条件分岐や繰返しを含むような処理時間が変動するようなアプリケーションに対するシミュレーションを可能にしている.

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

C ImpulseC[2] CyberWorkBench[3] LegUp[4] Java JHDL[5] Lime[6] JavaRock[7] HDL IP CFD Fortran Java JavaRock-Thrash[1] Verilog HDL HW Fortran Java HW Fo

C ImpulseC[2] CyberWorkBench[3] LegUp[4] Java JHDL[5] Lime[6] JavaRock[7] HDL IP CFD Fortran Java JavaRock-Thrash[1] Verilog HDL HW Fortran Java HW Fo Fortran FortRock 1 1 1 GPU FPGA FPGA HDL C Java Fortran Fortran C Java Fortran Java JavaRock-Thrash Verilog HDL Fortran Verilog HDL FortRock FPGA Fortran Hardware Acceleration for High Performance Computing

More information

アーキテクチャと形式的検証の協調 による超ディペンダブル VLSI 戦略的創造研究推進事業 ディペンダブル VLSI システムの基盤技術 東京大学大学院情報理工学系研究科 坂井修一 ( 代表者 ) 五島正裕 東京大学大規模集積システム設計教育研究センター (VDEC) 藤田昌宏 東京工業大学大学院情

アーキテクチャと形式的検証の協調 による超ディペンダブル VLSI 戦略的創造研究推進事業 ディペンダブル VLSI システムの基盤技術 東京大学大学院情報理工学系研究科 坂井修一 ( 代表者 ) 五島正裕 東京大学大規模集積システム設計教育研究センター (VDEC) 藤田昌宏 東京工業大学大学院情 アーキテクチャと形式的検証の協調 による超ディペンダブル VLSI 戦略的創造研究推進事業 ディペンダブル VLSI システムの基盤技術 東京大学大学院情報理工学系研究科 坂井修一 ( 代表者 ) 五島正裕 東京大学大規模集積システム設計教育研究センター (VDEC) 藤田昌宏 東京工業大学大学院情報理工学研究科 吉瀬謙二 日本電気 ( 株 ) 若林一敏 2011/7/2 DVLSI-CREST 全体マップ

More information

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification on BAST Architecture

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification  on BAST Architecture 29 年 2 月 4 日日本大学大学院生産工学研究科数理情報工学専攻修士論文発表会 BAST アーキテクチャにおけるランダムパターンレジスタント故障ドントケア抽出を用いた擬似ランダムパターンのビット反転数削減法に関する研究 日本大学院生産工学研究科数理情報工学専攻万玲玲 背景 概要 BAST アーキテクチャ 目的と提案手法 ハンガリアンアルゴリズム ランダムパターンレジスタント故障検出用ドントケア抽出法

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei Just-In-Time PG 1,a) 1, 1 2 1 1 Just-In-Time VM Geyser Dalvik VM CaffeineMark SPECJVM 17% 1. LSI [1][2][3][4][5] (PG) Geyser [6][7] PG ON/OFF OS PG PG [7][8][9][10] Java Just-In-Time (JIT PG [10] JIT 1

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

スライド 1

スライド 1 Shibaura Institute of Technology EDS Fair 2011 国際学会の技術トレンドを読み解く ~ 過去 現在 未来 ~ 低消費電力設計 芝浦工業大学工学部情報工学科 宇佐美公良 低消費電力技術の論文発表件数の推移 論文発表件数 20 18 16 14 12 10 8 6 4 2 0 2007 2008 2009 2010 2011 年 ASP-DAC DAC ICCAD

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

User-defined Logic Application Memory Manager (Replacement) Application Specific Prefetcher (ASP) Application Kernel On-chip RAM (BRAM) On-chip RAM I/

User-defined Logic Application Memory Manager (Replacement) Application Specific Prefetcher (ASP) Application Kernel On-chip RAM (BRAM) On-chip RAM I/ RTL 1,2,a) 1,b) CPU Verilog HDL RTL 1. CPU GPU Verilog HDL VHDL RTL HDL Vivado HLS Impulse C CPU 1 2 a) takamaeda@arch.cs.titech.ac.jp b) kise@cs.titech.ac.jp RTL RTL RTL Verilog HDL RTL 2. 1 HDL 1 User-defined

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

核49index.indd

核49index.indd 1 49 ア 29, 32, 297, 297, 404, 411 406 218, 227, 266, 405 270 297 28 235 257 278 234 277 220 64 80, 232, 424 223, 246, 263, 431 228, 230, 257 250 249 269, 276 30, 222, 226, 246, 417 138 73, 75, 219, 261,

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

PSI-NSIM[3] [4][5][6][7] UPPAAL[8] PRISM[9] [10] [11] TDMA [12] TDMA [13][14] LOTTERYBUS [13][14] Slack-based Bus [12] [15] [16] [17] R

PSI-NSIM[3] [4][5][6][7] UPPAAL[8] PRISM[9] [10] [11] TDMA [12] TDMA [13][14] LOTTERYBUS [13][14] Slack-based Bus [12] [15] [16] [17] R 1 2 A Performance prediction technique in consideration of utilization and memory band utilization NOBORU WAKABAYASHI 1 NOBUKAZU YOSHIOKA 2 Consumer products, such as Digital-TV, the consumer products

More information

25 3 26 2 14 12350917 3 Cyclesports USBhostAPI Arduino 3 LED LED LED Cyclesports Cyclesports 1 4 1.1...................................... 4 1.2................. 5 1.3.................................

More information

核51索引.indd

核51索引.indd 1 51 ア 30 266, 275, 291, 292, 312, 333, 445 313 27 418 412 69, 331 305, 398 117, 398 266, 312, 444, 445 434 27 427 26, 29, 413, 416 300 289, 303, 426 58, 63, 279, 305, 430, 431 412 57 438 289 302 235 297

More information

1 1(a) MPR 1(b) MPR MPR MPR MPR MPR 2 1 MPR MPR MPR A MPR B MPR 2 MPR MPR MPR MPR MPR GPS MPR MPR MPR 3. MPR MPR 2 MPR 2 (1) (4) Zai

1 1(a) MPR 1(b) MPR MPR MPR MPR MPR 2 1 MPR MPR MPR A MPR B MPR 2 MPR MPR MPR MPR MPR GPS MPR MPR MPR 3. MPR MPR 2 MPR 2 (1) (4) Zai Popular MPR 1,a) 2,b) 2,c) GPS Most Popular Route( MPR) MPR MPR MPR MPR MPR MPR MPR Popular Popular MPR MPR Popular 1. GPS GPS GPS Google Maps *1 Zaiben [1] Most Popular Route( MPR) MPR MPR MPR 1 525 8577

More information

EH意見交換会_ishihara.pptx

EH意見交換会_ishihara.pptx 2 2-9D @23 @@CCC:9 44 9D @232 2! " "! " "! 1 2! " "! " "! 3!? " MPEG4 " 15% Hojun Shim, Youngjin Cho and Naehyuck Chang, "Power Saving in Hand-held Multimedia Systems Using MPEG-21 Digital Item Adaptation,"

More information

(a) (b) 2 2 (Bosch, IR Illuminator 850 nm, UFLED30-8BD) ( 7[m] 6[m]) 3 (PointGrey Research Inc.Grasshopper2 M/C) Hz (a) (b

(a) (b) 2 2 (Bosch, IR Illuminator 850 nm, UFLED30-8BD) ( 7[m] 6[m]) 3 (PointGrey Research Inc.Grasshopper2 M/C) Hz (a) (b (MIRU202) 202 8 AdrianStoica 89 0395 744 89 0395 744 Jet Propulsion Laboratory 4800 Oak Grove Drive, Pasadena, CA 909, USA E-mail: uchino@irvs.ait.kyushu-u.ac.jp, {yumi,kurazume}@ait.kyushu-u.ac.jp 2 nearest

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

,,, 2 ( ), $[2, 4]$, $[21, 25]$, $V$,, 31, 2, $V$, $V$ $V$, 2, (b) $-$,,, (1) : (2) : (3) : $r$ $R$ $r/r$, (4) : 3

,,, 2 ( ), $[2, 4]$, $[21, 25]$, $V$,, 31, 2, $V$, $V$ $V$, 2, (b) $-$,,, (1) : (2) : (3) : $r$ $R$ $r/r$, (4) : 3 1084 1999 124-134 124 3 1 (SUGIHARA Kokichi),,,,, 1, [5, 11, 12, 13], (2, 3 ), -,,,, 2 [5], 3,, 3, 2 2, -, 3,, 1,, 3 2,,, 3 $R$ ( ), $R$ $R$ $V$, $V$ $R$,,,, 3 2 125 1 3,,, 2 ( ), $[2, 4]$, $[21, 25]$,

More information

YMCA OUTLINE 1 2

YMCA OUTLINE 1 2 - - wada@ie.u-ryukyu.ac.jp http://bw-www.ie.u-ryukyu.ac.jp/~wada http://www.magnadesignnet.com LSI SRAM 3 Pentium Cache 3 LSI H/W Cache LSI YMCA OUTLINE 1 2 2002 718 LSI 13 12 12 12 21 14 Organization

More information

Run-Based Trieから構成される 決定木の枝刈り法

Run-Based Trieから構成される  決定木の枝刈り法 Run-Based Trie 2 2 25 6 Run-Based Trie Simple Search Run-Based Trie Network A Network B Packet Router Packet Filtering Policy Rule Network A, K Network B Network C, D Action Permit Deny Permit Network

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

ISE 10.1 Editor Presentation

ISE 10.1 Editor Presentation デザイン ツールの最新版 ISE Design Suite 10.1 * この資料に記載されている会社名 製品名は 各社の登録商標または商標です 本日のニュース 1 常に業界をリードしてきた ISE デザイン ツール 2 デザイン ツールを取り巻く要因と業界の重要課題 3 ISE Design Suite 10.1 の紹介 4 まとめ ISE Design Suite 10.1 2 ザイリンクスのデザイン

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

(Microsoft PowerPoint - JaSST 10 LT\(\203e\203X\203g\201E\203q\203X\203g\203\212\201[\) ppt)

(Microsoft PowerPoint - JaSST 10 LT\(\203e\203X\203g\201E\203q\203X\203g\203\212\201[\) ppt) JaSST 10 Tokyo ライトニングトークス カバーフローで見る 5 分間ソフトウェアソフトウェアテスト ヒストリー 辰巳敬三 2010 年 1 月 28 日 1 ソフトウェアテスト ヒストリー ソフトウェア テスト PRESS 2 ソフトウェアテスト ヒストリー コラム番外編 : テスト書籍カバ書籍カバーギャラリー 洋書のアートワークが COOL! 音楽雑誌のようにしたい! 残念ながらモノクロ

More information

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤 統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤り 悪意のある攻撃など ) に対して 統一的な視点からディジタルLSIシステムのディペンダビリティを確保するための設計技術の確立を目指す ディペンダビリティの解析と対策回路の合成を行うEA

More information

八戸工大ドリームゲート16p.indd

八戸工大ドリームゲート16p.indd Hachinohe Institute of Technology Dream Gate 2015 Department of Biotechnology and Environmental Engineering Department of Electrical and Electronic Systems Department of KANSEI Design Department of System

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx チップマルチプロセッサにおける データ プリフェッチ効果の分析 福本尚人, 三原智伸九州大学大学院システム情報科学府情報理学専攻 井上弘士, 村上和彰九州大学大学院システム情報科学研究院情報理学部門 2007/6/1 1 発表手順 研究の背景 目的 効果に基づくプリフェッチの分類法 マルチプロセッサ チップマルチプロセッサ 性能モデル式による定性的評価 定量的評価 まとめ 2007/6/1 2 研究の背景

More information

IPSJ SIG Technical Report Vol.2018-SE-200 No /12/ Proposal of test description support environment for request acquisition in web appli

IPSJ SIG Technical Report Vol.2018-SE-200 No /12/ Proposal of test description support environment for request acquisition in web appli 1 1 1 2 Proposal of test description support environment for request acquisition in web application development Nakaji Yoshitake 1 Choi Eunjong 1 Iida Hajimu 1 Yoshida Norihiro 2 1. 1 ( ) 1 Nara Institute

More information

27 AR

27 AR 27 AR 28 2 19 12111002 AR AR 1 3 1.1....................... 3 1.1.1...................... 3 1.1.2.................. 4 1.2............................ 4 1.2.1 AR......................... 5 1.2.2......................

More information

DAシンポ2003_SLD研_発表原稿

DAシンポ2003_SLD研_発表原稿 DA 2003 - JEITA SLD - JEITA E D A S L D NEC http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Copyright 2002-2003 JEITA, All rights reserved SLD Copyright 2002-2003 JEITA, All rights

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

3論説_高橋.indd

3論説_高橋.indd 2001 89 2006 543 5 6 2001 7 2006 59 5 8 2007: 60 Kingsoft Office 2007 1) 29 1999 2001 Tschang and Xue 2003 Li and Gao 2003 Wong and Wong 2004Yang et al. 2005 Shi et al. 2005 Wu and Miyazaki 2006 IT Li

More information

Microsoft PowerPoint - ICD2011TakadaSlides.pptx

Microsoft PowerPoint - ICD2011TakadaSlides.pptx キャッシュウェイ割り当てと コード配置の同時最適化による メモリアクセスエネルギーの削減 九州大学 高田純司井上弘士京都大学石原亨 2012/8/9 1 目次 研究背景 組込みプロセッサにおけるエネルギー削減の必要性 キャッシュウェイ割り当て 提案手法 キャッシュウェイ割り当てとコード配置の組み合わせ 同時最適化 評価実験 まとめ 2012/8/9 2 組込みプロセッサの課題 研究背景 低消費エネルギー化,

More information

Microsoft PowerPoint - 第3回スライド final [互換モード]

Microsoft PowerPoint - 第3回スライド final [互換モード] 新興ベンダ ガイド ツアー 第 3 回 14:45-15:5515:55 ツアーガイド : 秋山俊恭 ( 独立行政法人科学技術振興機構 ) 訪問企業 順番 会社名 ( 日本での取扱 ) 本社 URL カテゴリ主な業務内容 1 AVERY DESIGN SYSTEMS. (Chip Start or 台湾オフィス ) 米国 http://www.averydesign.com/ デジタル アサーション生成

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

レビューテキストの書き の評価視点に対する評価点の推定 29 3

レビューテキストの書き の評価視点に対する評価点の推定 29 3 JAIST Reposi https://dspace.j Title レヒ ューテキストの書き手の評価視点に対する評価 点の推定 Author(s) 張, 博 Citation Issue Date 2017-03 Type Thesis or Dissertation Text version author URL http://hdl.handle.net/10119/14154 Rights

More information

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Hans J. MATTAUSCH, and Tetsushi KOIDE 1 1 2 0.5 µm CMOS

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

2

2 Copyright 2008 Nara Institute of Science and Technology / Osaka University 2 Copyright 2008 Nara Institute of Science and Technology / Osaka University CHAOS Report in US 1994 http://www.standishgroup.com/sample_research/

More information

ムーアの法則に関するレポート

ムーアの法則に関するレポート 情報理工学実験レポート 実験テーマ名 : ムーアの法則に関する調査 職員番号 4570 氏名蚊野浩 提出日 2019 年 4 月 9 日 要約 大規模集積回路のトランジスタ数が 18 ヶ月で2 倍になる というムーアの法則を検証した その結果 Intel 社のマイクロプロセッサに関して 1971 年から 2016 年の平均で 26.4 ヶ月に2 倍 というペースであった このことからムーアの法則のペースが遅くなっていることがわかった

More information

リソース制約下における組込みソフトウェアの性能検証および最適化方法

リソース制約下における組込みソフトウェアの性能検証および最適化方法 リソース制約下における組込みソフト ウェアの性能検証および最適化方法 広島市立大学 大学院情報科学研究科システム工学専攻 中田明夫倉田和哉百々太市 1 提案技術の概要 組込みシステムの開発 厳しいリソース制約 (CPU, ネットワークなど ) 非機能要求 ( リアルタイム性など ) の達成 開発プロセスにおける設計段階 性能問題を発見することが困難 実装段階で性能問題が発覚 設計の手戻りが発生 設計段階での性能検証手法

More information

24312.dvi

24312.dvi Cognitive Studies, 24(3), 410-434. (Sep. 2017) The Table-talk Role Playing Game (TRPG) is an analog game. This game progresses by repeating acts of speech between a Game Master (GM) and a Player (PL).

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Vol. 27 No. 4 Nov RTOS RTOS RTOS Windows RTOS Windows RTOS RTOS Windows COM RTOS RTOS RTOS RTOS RTOS ISS(Instruction Set Simuator ) Windows (

Vol. 27 No. 4 Nov RTOS RTOS RTOS Windows RTOS Windows RTOS RTOS Windows COM RTOS RTOS RTOS RTOS RTOS ISS(Instruction Set Simuator ) Windows ( 24 OS ISS (Instruction Set Simuator: ) ISS ISS (1) (2) (3) (4) OS ISS This paper presents an open source simulator corresponding multiprocessors for embedded systems. The purpose of the simulator is to

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Parallel Computer Ships1 Makoto OYA*, Hiroto MATSUBARA**, Kazuyoshi SAKURAI** and Yu KATO**

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

技術創造の社会的条件

技術創造の社会的条件 1999 10 21 21 i ... 1 1... 3 1-1. 20...3 1900 1945 3 1945 198x 4 198x 1999 5 1-2....7 1945 198x 7 HEMT 8 198x 1999 9 9 1-3....11 11 12 13 18 2 New Institutions... 21 2-1....21 22 24 26 2-2....27 28 29

More information

本文ALL.indd

本文ALL.indd Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法河辺峻田口成美古谷英祐 Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法 Performance Measurement Method of Cache Coherency Effects on an Intel Xeon Processor System 河辺峻田口成美古谷英祐

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション GSN を応用したナレッジマネジメントシステムの提案 2017 年 10 月 27 日 D-Case 研究会 国立研究開発法人宇宙航空研究開発機構 研究開発部門第三研究ユニット 梅田浩貴 2017/3/27 C Copyright 2017 JAXA All rights reserved 1 目次 1 課題説明 SECI モデル 2 GSN を応用したナレッジマネジメントシステム概要 3 ツリー型チェックリスト分析

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

卒論発表

卒論発表 0 年度 ( 平成 年度 ) 広島市大 卒業研究 実現するアルゴリズムの証明に 注目した ASIP のシステム検証 広島市立大学 情報科学部 情報工学科錦織光輝 ( 高橋隆一指導 ) Mitsuki Nishikori 研究背景 0 年代には Verilog HDL によって仕様を記述し, 論理合成によって回路を実現するスタイルが普及した 検証技術が論理合成に続く技術として期待されている 満たすべき性質をアサーションとして記述することによるシミュレーションでの検証

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15. 1. 2. 3. 16 17 18 ( ) ( 19 ( ) CG PC 20 ) I want some rice. I want some lice. 21 22 23 24 2001 9 18 3 2000 4 21 3,. 13,. Science/Technology, Design, Experiments,

More information

SEJulyMs更新V7

SEJulyMs更新V7 1 2 ( ) Quantitative Characteristics of Software Process (Is There any Myth, Mystery or Anomaly? No Silver Bullet?) Zenya Koono and Hui Chen A process creates a product. This paper reviews various samples

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

NINJAL Research Papers No.8

NINJAL Research Papers No.8 (NINJAL Research Papers) 8: 177 196 (2014) ISSN: 2186-134X print/2186-1358 online 177 3 3 3 1940 3 late adoption real time 3 apparent time * 1. 1 2 3 1.1 3 1 1953 * 2014 3 18 2014 5 13 109 NINJAL 2012

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

R I T S U M E I K A N U N I V E R S I T Y システムレベル設計概説 立命館大学理工学部電子情報デザイン学科 (Dept. of VLSI System Design) 福井正博 VLSI システム研究会

R I T S U M E I K A N U N I V E R S I T Y システムレベル設計概説 立命館大学理工学部電子情報デザイン学科 (Dept. of VLSI System Design) 福井正博 VLSI システム研究会 システムレベル設計概説 立命館大学理工学部電子情報デザイン学科 (Dept. of VLSI System Design) 福井正博 VLSI システム研究会 2004.7.10 1 LSI の設計困難度の増大 ITRS2001: Additional Design Technology Requirements Year of Production 2001 2002 2003 2004 2005

More information

DRAM L2 L2 DRAM L2 DRAM L2 RAM DRAM 3 DRAM 3. 1 DRAM SRAM/DRAM 2. SRAM/DRAM DRAM LLC Last Level Cache 2 2) DRAM 1(A) (B) LLC L2 DRAM DRAM L2 SRAM DRAM

DRAM L2 L2 DRAM L2 DRAM L2 RAM DRAM 3 DRAM 3. 1 DRAM SRAM/DRAM 2. SRAM/DRAM DRAM LLC Last Level Cache 2 2) DRAM 1(A) (B) LLC L2 DRAM DRAM L2 SRAM DRAM SRAM/DRAM 1 1 2 2 3 DRAM DRAM 2 SRAM/DRAM 1) 1) L2 3.01 1.17 Run-time Operation-Mode Management on SRAM/DRAM Hybrid Cache SHINYA HASHIGUCHI, 1 NAOTO FUKUMOTO, 1 KOJI INOUE 2 and KAZUAKI MURAKAMI 2 3D stacked

More information

Microsoft PowerPoint - 配布用資料.ppt

Microsoft PowerPoint - 配布用資料.ppt ソフトウェア設計プロセスの改革 オブジェクト指向導入による 生産性の向上 SEIKO EPSON CORPORATION BS 事業部 2006 6 28 開発対象製品の紹介 セイコーエプソン株式会社 BS 事業部 BS 事業推進部 TM( ターミナルモジュール ) のファームウェア開発 ( レシートプリンタ ラベルプリンタの開発 ) 業務用小型プリンタのファームウェア開発 レシート ラベル チェック

More information

DEIM Forum 2017 E Netflix (Video on Demand) IP 4K [1] Video on D

DEIM Forum 2017 E Netflix (Video on Demand) IP 4K [1] Video on D DEIM Forum 2017 E1-1 700-8530 3-1-1 E-mail: inoue-y@mis.cs.okayama-u.ac.jp, gotoh@cs.okayama-u.ac.jp 1. Netflix (Video on Demand) IP 4K [1] Video on Demand ( VoD) () 2. 2. 1 VoD VoD 2. 2 AbemaTV VoD VoD

More information

Information Architecture Field Information Architecture Field Information Architecture Field Information Architecture Field Information Architecture Field Information Architecture Field Information Architecture

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N GPU 1 1 2 1, 3 2, 3 (Graphics Unit: GPU) GPU GPU GPU Evaluation of GPU Computing Based on An Automatic Program Generation Technology Makoto Sugawara, 1 Katsuto Sato, 1 Kazuhiko Komatsu, 2 Hiroyuki Takizawa

More information

CSPの紹介

CSPの紹介 CSP モデルの優位性 産業技術総合研究所情報技術研究部門磯部祥尚 0:40 第 9 回 CSP 研究会 (2012 年 3 月 17 日 ) 1 講演内容 1. CSPモデルの特徴 CSPモデルとは? 同期型メッセージパッシング通信 イベント駆動 通信相手 ( チャネル ) の自動選択 3. CSPモデルの検証 CSPモデルの記述例 検証ツール 振舞いの等しさ 2. CSPモデルの実装 ライブラリ

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx データ値の局所性を利用した ライン共有キャッシュの提案 九州大学大学院 岡慶太郎 福本尚人 井上弘士 村上和彰 1 キャッシュメモリの大容量化 マルチコア プロセッサが主流 メモリウォール問題の深刻化 メモリアクセス要求増加 IOピンの制限 大容量の LL(Last Level) キャッシュを搭載 8MB の L3 キャッシュを搭載 Core i7 のチップ写真 * * http://www.atmarkit.co.jp/fsys/zunouhoudan/102zunou/corei7.html

More information

IPSJ SIG Technical Report Vol.2015-MUS-106 No.10 Vol.2015-EC-35 No /3/2 BGM 1,4,a) ,4 BGM. BGM. BGM BGM. BGM. BGM. BGM. 1.,. YouTube 201

IPSJ SIG Technical Report Vol.2015-MUS-106 No.10 Vol.2015-EC-35 No /3/2 BGM 1,4,a) ,4 BGM. BGM. BGM BGM. BGM. BGM. BGM. 1.,. YouTube 201 BGM 1,4,a) 1 2 2 3,4 BGM. BGM. BGM BGM. BGM. BGM. BGM. 1.,. YouTube 2015 1 100.. Web.. BGM.BGM [1]. BGM BGM 1 Waseda University, Shinjuku, Tokyo 169-8555, Japan 2 3 4 JST CREST a) ha-ru-ki@asagi.waseda.jp.

More information

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果 Pervasive PSQL v11 のベンチマークパフォーマンスの結果 Pervasive PSQL ホワイトペーパー 2010 年 9 月 目次 実施の概要... 3 新しいハードウェアアーキテクチャがアプリケーションに及ぼす影響... 3 Pervasive PSQL v11 の設計... 4 構成... 5 メモリキャッシュ... 6 ベンチマークテスト... 6 アトミックテスト... 7

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

ThumbStick: 1,a) 2,b) ThumbStick ThumbStick ThumbStick: Two-dimensional Thumb Motion Recognition System for One-handed Smartwatch Input Aoyama Shuhei

ThumbStick: 1,a) 2,b) ThumbStick ThumbStick ThumbStick: Two-dimensional Thumb Motion Recognition System for One-handed Smartwatch Input Aoyama Shuhei ThumbStick: 1,a) 2,b) ThumbStick ThumbStick ThumbStick: Two-dimensional Thumb Motion Recognition System for One-handed Smartwatch Input Aoyama Shuhei 1,a) Shizuki Buntarou 2,b) Abstract: In this paper,

More information

2. Eades 1) Kamada-Kawai 7) Fruchterman 2) 6) ACE 8) HDE 9) Kruskal MDS 13) 11) Kruskal AGI Active Graph Interface 3) Kruskal 5) Kruskal 4) 3. Kruskal

2. Eades 1) Kamada-Kawai 7) Fruchterman 2) 6) ACE 8) HDE 9) Kruskal MDS 13) 11) Kruskal AGI Active Graph Interface 3) Kruskal 5) Kruskal 4) 3. Kruskal 1 2 3 A projection-based method for interactive 3D visualization of complex graphs Masanori Takami, 1 Hiroshi Hosobe 2 and Ken Wakita 3 Proposed is a new interaction technique to manipulate graph layouts

More information

DEIM Forum 2009 B4-6, Str

DEIM Forum 2009 B4-6, Str DEIM Forum 2009 B4-6, 305 8573 1 1 1 152 8550 2 12 1 E-mail: tttakuro@kde.cs.tsukuba.ac.jp, watanabe@de.cs.titech.ac.jp, kitagawa@cs.tsukuba.ac.jp StreamSpinner PC PC StreamSpinner Development of Data

More information

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 fatec-ood-2017@dl.jp.fujitsu.com 0 背景 リアルタイム性が必要な分野への適用 5G( 低遅延 ) による新たなサービス展開 ゲーム VoIP 動画医療金融車載 遅延がサービス品質に直結 End-to-End

More information

Insert your Title here

Insert your Title here マルチコア マルチスレッド環境での静的解析ツールの応用 米 GrammaTech 社 CodeSonar によるスレッド間のデータ競合の検出 2013 GrammaTech, Inc. All rights reserved Agenda 並列実行に起因する不具合の摘出 なぜ 並列実行されるプログラミングは難しいのか データの競合 デッドロック どのようにして静的解析ツールで並列実行の問題を見つけるのか?

More information

MAC root Linux 1 OS Linux 2.6 Linux Security Modules LSM [1] Security-Enhanced Linux SELinux [2] AppArmor[3] OS OS OS LSM LSM Performance Monitor LSMP

MAC root Linux 1 OS Linux 2.6 Linux Security Modules LSM [1] Security-Enhanced Linux SELinux [2] AppArmor[3] OS OS OS LSM LSM Performance Monitor LSMP LSM OS 700-8530 3 1 1 matsuda@swlab.it.okayama-u.ac.jp tabata@cs.okayama-u.ac.jp 242-8502 1623 14 munetoh@jp.ibm.com OS Linux 2.6 Linux Security Modules LSM LSM Linux 4 OS OS LSM An Evaluation of Performance

More information