LHC- ATLAS 実験アップグレードに向けた新しいミューオントリガー装置読み出しの研究開発 日本物理学会 2014 年春季大会東海大学 加藤千曲, 坂本宏, 二ノ宮陽一, 徳永孝之, 浦野祐作, 鈴木翔太佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 A

Size: px
Start display at page:

Download "LHC- ATLAS 実験アップグレードに向けた新しいミューオントリガー装置読み出しの研究開発 日本物理学会 2014 年春季大会東海大学 加藤千曲, 坂本宏, 二ノ宮陽一, 徳永孝之, 浦野祐作, 鈴木翔太佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 A"

Transcription

1 LHC- ATLAS 実験アップグレードに向けた新しいミューオントリガー装置読み出しの研究開発 日本物理学会 2014 年春季大会東海大学 加藤千曲, 坂本宏, 二ノ宮陽一, 徳永孝之, 浦野祐作, 鈴木翔太佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 ATLAS 日本 TGC グループ東大素セ, 高エ研 A, 京都大 B, Open- ItC 1

2 L1 Endcap ミューオントリガーのアップグレード RUN1(2012) RUN2(2015~) RUN3(2018~) 重心系 energy [TeV] 8 13~14 13~14 Luminosity [cm - 2 s - 1 ] 0.7 x x x 34 バンチ間隔 [ns] p T threshold [GeV] Trigger rate [khz] 6 34 > > 20 救仁郷 2014 年春物理学会 TGC 新検出器 Z 鈴木友 2011 年秋物理学会 2018 年 RUN3 では, フェイクを落とすために新検出器を導入する. ミューオン運動量判定装置 Sector Logic (SL) を新しくする必要がある η 2

3 SL と新 SL Ø Sector Logic (SL) R と φ の hit 分布でミューオンの運動量を判定する 新 SL では内側の新検出器を用いてフェイクを落とす 入力が約 5 倍になるため新しい装置が必要になる 新 SL 開発に向けた試作機の製作新しい FPGA 読み出しの性能評価 幅 入力 = 幅 x 40 MHz 出力 = 幅 x 0 khz x 3 / 0 SL 202 bit 8 Gbps 0.6 Mbps 新 SL = 970 bit 39 Gbps 3 Mbps 新 SL では 入力 39 Gbps メモリ 2000 kbit 出力 3 Mbps を要求する 3

4 SL と新 SL の読み出し SL 読み出し : ASIC で読み出し FPGA Vertex- 2 で運動量判定 SLB ASIC StarSWitch L1Buffer 202 x 128 bit FIFO 202 x 128 bit LVDS ROD 新 SL 読み出し : 新検出器入力の追加 読み出しも FPGA で行う SiTCP を使う FPGA Kintex- 7 Switching Hub L1Buffer 970 x 128 bit FIFO 970 x 24 bit SiTCP ROD L1Buffer は Level1 トリガー判定である L1A を待つ間データを保持する FIFO は L1A のばらつきを吸収する 4

5 新 SL 入力 GTX Tx ブロック図 高速シリアル通信技術 Xilinx 最新 FPGA 7 Series に搭載 最大 12.5 Gbps/lane を 16 lane 1 世代前では最大 Gbps/ lane serializer deserializer encoder decoder Tx I/F Rx I/F Rx Equalizer で高周波補償 8bb 転送方式が可能 Rx Equalizer Rx ブロック図 新 SL 最大入力約 39 Gbps を再現出来る 5

6 新 SL 出力 SiTCP Readout FPGA TCP 処理技術 FPGA からは FIFO にみえる PC からはサーバーにみえる Control GbE PHY SiTCP FPGA UDP による制御 PROM 書き込み用ファイルで MAC アドレスを変更可能 00BASE- T (1 Gbps) 0BASE- TX (0 Mbps) 新 SL 出力約 3 Mbps を TCP で読み出せる 6

7 新 SL 試作機 PT7 Ø 仕様 Xilinx 最新 FPGA Kintex- 7 XCK325T- 2ffg900 SiTCP 用 PHY DP83865 GTX 4 lane 用 Infiniband 4x 接続を 2 つ Timing Trigger Control (TTC) 受信機接続 LHC clock 40 MHz や L1 トリガー信号 L1A を受信する G- link, LVDS メザニンカード接続 DDR SDRAM MT41J64M16 RS232C 制御 Ø 経過 2013 年 2 月 1 号機完成 2013 年 8 月 GTX clock 配線などを修正し PT7 2 号機を発注した 2013 年 11 月 PT7 2 号機完成 2013 年 8 月 TTC 接続試験 7

8 PT7 GTX 性能評価 BER (Bit Error Rate) PT7v1 BER PT7v2 BER X0Y0 b115 X0Y1 b115 X0Y2 b115 X0Y3 b115 X0Y8 b117 X0Y9 b117 X0Y b117 X0Y11 b117 < 8.0 < 8.1 < 8.1 < 8.2 ~4.4 ~4.1 ~4.1 ~4.0 x - 13 x - 13 x - 13 x - 13 x - 1 x - 1 x - 1 x - 1 <5.5 < 5.6 < 5.6 < 5.6 < 5.6 < 5.6 < 5.6 < 5.6 x - 13 x - 13 x - 13 x - 13 x - 13 x - 13 x - 13 x - 13 connector connector bank115 bank116 bank117 bank118 clock PT7v1 connector connector bank115 bank116 bank117 bank118 clock PT7v2 Kintex- 7 XCK325T- 2ffg900 は の 4 bank を持つ 1 bank 4 lane で 1 lane 最大 12.5 Gbps である 内部 loopback と Infiniband 1m loopback を Gbps で試験した PT7v2 では 8 lane 全て Gbps 可能 8

9 PT7 SiTCP 性能評価 PT7 PT7 PT7 Switching Hub DAQ PC Throughput[Mbps] PT7 SiTCP Maximum Throughput Scalability 00BASE-T 0BASE-TX 最大速度 考察 Number of PT7[] 00BASE- T(1 Gbps) 891 Mbps PC 側の限界で帯域の 95% 以下 0BASE- TX(0 Mbps) 95 Mbps Number of PT7 最大データ 1460 Byte に対して, Ethernet TCP/IP のヘッダーが 76 Byte で約 95% 新 SL の出力約 3 Mbps を読み出せる 市販の Switching Hub で収集できる 9

10 まとめと今後 970 bit X 40 MHz = 38.8Gbps FPGA Kintex- 7 L1A 0 khz Clock 40 MHz ~1/0 GTX L1Buffer FIFO Zero Suppress SiTCP 58 bit x3 x 0 khz/0 ~ 3 Mbps Ø まとめ 新 SL 試作機 PT7 の clock 配線などを修正し PT7v2 を製作した PT7v2 GTX は入力要求 39 Gbps に対して 80 Gbps 可能 FPGA BRAM は要求約 2000 kbit に対して kbit 可能 SiTCP は出力要求約 3 Mbps に対して 891 Mbps 可能 Ø 今後 GTX を調整し新 SL 入力を再現する トリガーや収集系, 例外処理を実装し性能評価を進める PT7 の結果をもとに新 SL を設計する

11 backup 11

12 LHC と ATLAS 実験 TGC LHC 加速器 陽子陽子衝突型加速器 周長 27.6 km 衝突頻度 40 MHz 2012 年重心系エネルギー 8 TeV 2012 年ルミノシティ 0.7x 34 cm - 2 s - 1 ATLAS 検出器 内部飛跡検出器 (Pixel detector, SCT, TRT) カロリメーター (ElectroMagnetc, Hadronic) ミューオンスペクトロメーター (MDT, CSC, RPC, TGC(Thin Gap Chamber)) ヒッグス粒子や新物理の探索 12

13 ATLAS のトリガーシステム 12 m ~5 khz ~700 Hz Ø 3 段階のトリガーを採用 Ø Level1 (L1) トリガー ハードウェアによるトリガー 2.5μs 以内に処理 ミューオンやカロリメーター Ø L1 Endcap ミューオントリガー Z [m] 1.05 < η < 2.4, η = - log{tan(θ/2)} 磁場で曲げられ 3 層の TGC にヒット 無限運動量の直線からのずれで運動量の大きいミューオンを選び出す 13

14 現在の TGC 読み出し LVDS LVDS G- link SLB ASIC Readout (Star SWitch) G- link S- link x8 本研究はミューオン運動量判定装置 Sector Logic (SL) のアップグレードに関わる 新検出器情報を読み出すためには SL と後段の読み出しを改良する必要がある 14

15 Thin Gap Chamber (TGC) Graphite layer Pick-up strip +HV 50 µm wire 1.8 mm 1.4 mm 1.6 mm G- Big Wheel (BW) Endcap : 1.05 < η < 1.92 Forward: 1.92 < η < 2.70 M1 staton: triplet z~13 m M1 staton: doublet z~14 m M1 staton: doublet z~14.5 m Triplet: 3 wire 2 strip layer Doublet: 2 wire 2 strip layer 直交する anode wire と cathod strip により 2 次元情報を出力する. wire 間は 1.8 mm, wire strip 間は 1.4 mm と短く, 25 ns 以内に応答する. 4~33 wire が R 1 ch, 1 strip が φ 1 ch. 1cm 程度の位置分解能 ch. CO 2 :n- pentane = 55:45 ガスを用いる. CO 2 が電離し, n- pentane が紫外線を吸収する. 15

16 New Small Wheel (NSW) Ø MicroMegas Mesh: Stainless Steel 325 lines/inch Amplificaton gap: 128 μm Dri{/conversion gap: 5 mm Resistve strips: 20 MΩhm/cm Readout strip pitch: mm Gas: Ar:CO2=93:7 HV on resistve strips: 550 V Ø stgc grid of 50μm gold- plated tungsten wires with a 1.8mm pitch two cathode planes at a distance of 1.4 mm from the wire plane The cathode planes are made of a graphite- epoxy mixture with a typical surface resistvity of 0 kω/cm 2 sprayed on a 0 μm thick G- plane 16

17 NSW データ形式と NSL データ形式 dθ は分解能 1 mrad 以上を 15 mrad 4 bit でカットし, 正負 1 bit で 5 bit BW TGC 分解能は η: 0.025, φ: 0.033, ~1 cm BW TGC RoI η: ( )/0.025 = 44- >6 bit, φ(large): 2π/12/0.033 = >4 bit NSW RoI η: 8 bit, φ:6bit. BW RoI より数倍細かい NSW offline 要求 ~0 μm, online 要求 ~ 1mm ~1mrad 17

18 PT7 GTX 5Gbps IBERT EYE PT7 GTX Gbps IBERT EYE 縦軸電圧 7.7 mv / codes, 横軸 0.1 ns / UI でオフセットをつけて測定した BER Infiniband 1m で測定したが Gbps ではケーブル長や接続の回数での減衰が予想される. 光接続の場合はケーブル長による減衰はより少ないことが知られている. 18

19 PT7 TTC 接続試験 PT7 TTC 128bit Register ShiftRegister FIFO SiTCP Readout TTC 装置 PT7v1 Throughput[Mbps] bunch read out 1bunch read out 60 TTC 受信機 年 8 月 CERN 研究所で行った PT7v1 では GTX が使えなかった 4A CC CC 4A CC CC 4A CC CC Bunch count 12 bit, track 24 x 4 bit, comma 16 bit そこで新検出器入力を意図した 128 bit register を用意した 従来の SLB ASIC のように L1Buffer L1A FIFO SiTCP の順に読み出した Random L1A の平均頻度を変えて読み出した Random L1A Rate[kHz] 読み出し量とデータの順番は正常だった TTC 受信機で LHC clock 40 MHz と L1A を受信できる 従来の SLB ASIC を FPGA に組み込める 19

20 PT7 FPGA 温度測定 Temperature [ C] PT7 KC705 GTX 8 lane FPGA Die Temperature PT7 VME extender PT7 in VME crate KC705 default fan GTX Throughput [bps/lane] ATS- 533D- C2- R0. 500LFM で 6.3 C/W の熱抵抗 LFM(Linear Feet per Minute): 200LFM = 1m/s Kintex- 7 評価ボード KC705 は 85 C 以下の運用を推奨している KC705 は FPGA 冷却用のファンを持つが PT7 は持たない PT7 で GTX などの高速信号を扱った際の温度上昇を確認する必要があった KC705 は Gbps 8 lane でも 40 C 以下に保たれた PT7 は Gbps 8 lane では約 60 C まで上昇した 気温が高い環境や装置が密集した場合には注意が必要 ヒートシンク ATS- 533D- C2- R0 の導入を検討する 20

21 新 SL FPGA メモリ資源 Slice は FPGA の論理資源 2 slice/clb で 4 LUT/slice 約 1/3 の slice は LUT を distributed 64- bit RAM か 32- bit shi{ registers として使える. BRAM は slice 消費 0 Slice と BRAM は PT7 と同じ FPGA で足りる メモリは全て BRAM の FIFO で実装する 21

22 新 SL Busy 確率 probability of buffer overflow [] probability of buffer overflow =0.8 =0.2 = buffer Depth [] buffer depth probability of buffer overflow [] =0.8-5 =0.2 = probability of buffer overflow buffer Depth [] buffer depth 入力と処理の状態が持続する確率が過去に依存しない場合 平均入力を λ, 平均処理能力を μ とすると平均使用率 ρ: ρ = λ/μ 深さ K が満たされる確率 P K : P K = (1 ρ)ρ K /(1 ρ K+1 ) ρ = 0.5 の場合 K = 7 では - 2 程度だが, K = 128 では - 25 以下である 読み出し幅を 8 bit まで縮めること, 3 bunch 読み出すことを考慮する Derandomizer FIFO を約 1 kbit width x 2kbit depth とする メモリ約 2000 kbit を要求し busy 確率を - 25 以下とする 22

23 新 SL 基板設計 DDR3: 高速 Bank を用いる. PT7v2 では 33 に 200 MHz. 各 bank に VRP/N 80.6 kω, VREF 0.75 V をつける. IO に使う場合などは internal vref や dci cascade 制約をする. 電源電圧を揃えておく. Clock: SiTCP 125 MHz, GTX 125 MHz, DDR3 200 MHz をつける. 場所は PT7 と同じで良い. FPGA 内部分周器は制約が多くいつも使えるとは限らない. GTX: bank 115, 117 を使う場合は 116 に ref clock 200 MHz をくべる. 基本的に上下の bank からしか基準クロックを供給できない. 23

24 Schedule of New Sector Logic Board 24

日本物理学会草稿

日本物理学会草稿 ATLAS 実験アップグレードに向けたレベル 1 ミューオントリガーにおける読み出し系の開発 日本物理学会 2012 年秋季大会於 : 京都産業大学 大谷育生, 坂本宏, 結束晃平, 二ノ宮陽一, 佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 ATLAS 日本 TGCグループ東大素セ 高エ研 A 京都大 B Open-It C 目次 研究の背景となる LHC

More information

ATLAS muon triggerにおけるTCPを用いたDAQ

ATLAS muon triggerにおけるTCPを用いたDAQ ATLAS muon triggerにおける TCPを用いたDAQ 東京大学素粒子物理国際研究センター大谷育生 目次 ATLAS のアップグレードに関する読み出しプロトタイプの開発について o ミューオントリガーシステムのアップグレード o SiTCP および GTX Transceiver の検証 o SL プロトタイプの開発について ATLAS ミューオントリガーにおける TCP の導入例 o

More information

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

京都 ATLAS meeting 田代 Thursday, July 11, 13 1

京都 ATLAS meeting 田代 Thursday, July 11, 13 1 京都 ATLAS meeting 3.7. 田代 削減 先週のスライドより EI/FI と NSW を用いた の削減について after EI/FI cut after NSW cut -3 - - 3 trigger 数 : 5664 EI/FI cut 38 % 349965 NSW cut 6 % 3398 4 8 6 (offline pt> GeV) after EI/FI cut after

More information

okazaki_ICEPP

okazaki_ICEPP LHC-ATLASRun-3 6 10 5 10 4 10 ATLAS Work in progress L1_MU20 in Run1 rejected by the trigger introduced in Run2 expected distribution in Run3 Data 2012, s=8tev, 25ns bunch spacing -1 L dt = 14.0 pb rejected

More information

ATLAS アップグレードに向けた ミューオン検出器読み出し回路の研究開発

ATLAS アップグレードに向けた ミューオン検出器読み出し回路の研究開発 Open It FPGA 研 究 会 汎 用 VME マザーボード PT6 の 開 発 東 京 大 学 大 学 院 理 学 系 研 究 科 物 理 学 専 攻 素 粒 子 物 理 国 際 研 究 センター 坂 本 研 究 室 神 谷 隆 之 2011 年 2 月 16 日 2011/2/16 1 1. 開 発 の 背 景 2011/2/16 2 LHC と ATLAS のアップグレード LHC 加

More information

ATLAS実験における   レベル2ミューオントリガーの性能評価

ATLAS実験における   レベル2ミューオントリガーの性能評価 ATLAS 実験におけるレベル 2 ミューオントリガーの性能評価 所属 : 東大理 高エ研 A 神戸大自然 B CERN C 道前武 徳宿克夫 A 長野邦浩 A 小曽根健嗣 A 石川明正 B 大町千尋 B 蔵重久弥 B 河野能知 C Atlas-Japan HLTグループ 2008 年 9 月 23 日日本物理学会秋季大会 Level2 MuonTrigger System Level1 ~75[kHz]

More information

LEPS

LEPS LEPS2 2016 2 17 LEPS2 SPring-8 γ 3 GeV γ 10 Mcps LEPS2 7 120 LEPS Λ(1405) LEPS2 LEPS2 Silicon Strip Detector (SSD) SSD 100 µm 512 ch 6 cm 3 x y 2 SSD 6 3072 ch APV25-s1 APVDAQ VME APV25-s1 SSD 128 ch

More information

W Z Large Hadron Collider LHC ATLAS LHC ATLAS Higgs 1

W Z Large Hadron Collider LHC ATLAS LHC ATLAS Higgs 1 LHC Higgs B054347 1 10 W Z Large Hadron Collider LHC ATLAS LHC ATLAS Higgs 1 1 4 6.1................... 6.................... 7.3.................. 8.4.......................... 9 3 10 3.1..............................

More information

(CERN) (LHC) 7 HL-LHC ATLAS ATLAS ASIC ASIC (DAQ) FPGA ASIC Gbps DAQ ASIC DAQ ATLAS ASIC DAQ FPGA FPGA ASIC DAQ PCI Express FPGA DAQ 5.83 Gbps

(CERN) (LHC) 7 HL-LHC ATLAS ATLAS ASIC ASIC (DAQ) FPGA ASIC Gbps DAQ ASIC DAQ ATLAS ASIC DAQ FPGA FPGA ASIC DAQ PCI Express FPGA DAQ 5.83 Gbps HL-LHC ATLAS 30 2 2 (CERN) (LHC) 7 HL-LHC ATLAS ATLAS ASIC ASIC (DAQ) FPGA ASIC 1 5.12 Gbps DAQ ASIC DAQ ATLAS ASIC DAQ FPGA FPGA ASIC DAQ PCI Express FPGA DAQ 5.83 Gbps 7.32 10 13 1 8 1.1 LHC....................................

More information

JPS_draft.pptx

JPS_draft.pptx LHC-ATLAS 実験における高い運動量を持つジェットの b- タグの開発及び評価 小林愛音 江成祐二 A 川本辰男 A 東大理 東大素セ A 9pSK-6 9th September 4 日本物理学会 4 年秋季大会 Introduction 5 年から始まる LHC の運転では高い運動量を持った物理の解析が重要 新しい重いレゾナンスの探索 (à WW, tt, hhà jets) VHà bb

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

Microsoft PowerPoint - hiei_MasterThesis

Microsoft PowerPoint - hiei_MasterThesis LHC 加速器での鉛鉛衝突における中性 πおよびω 中間子測定の最適化 日栄綾子 M081043 クォーク物理学研究室 目的 概要 目的 LHC 加速器における TeV 領域の鉛鉛衝突実験における中性 π および ω 中間子の測定の実現可能性の検証 および実際の測定へ向けた最適化 何故鉛鉛衝突を利用して 何を知りたいのか中性 πおよびω 中間子測定の魅力 ALICE 実験検出器群 概要予想される統計量およびバックグランドに対するシグナルの有意性を見積もった

More information

CTA 82: CTA A A B B A B A, C A A A D A B Max-Planck-Inst. fuer Phys. C D

CTA 82: CTA A A B B A B A, C A A A D A B Max-Planck-Inst. fuer Phys. C D CTA 82 CTA A A B B A B A, C A A A D A B Max-Planck-Inst. fuer Phys. C D LST LST LST に 1855 の 23m Dragon FrontEndBoard 7 の から み し 14 30 ns SiTCP を FPGA に デ タ は TCP/IP その は UDP で われる 1 が1 のトリガで するデ タは {(2

More information

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k 63 3 Section 3.1 g 3.1 3.1: : 64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () 3 9.8 m/s 2 3.2 3.2: : a) b) 5 15 4 1 1. 1 3 14. 1 3 kg/m 3 2 3.3 1 3 5.8 1 3 kg/m 3 3 2.65 1 3 kg/m 3 4 6 m 3.1. 65 5

More information

Microsoft PowerPoint - jps11s_karube_ver110422

Microsoft PowerPoint - jps11s_karube_ver110422 CALET プロトタイプの ビーム実験結果と シミュレーションの比較 早大理工研, 神奈川大工 A, 横浜国大工 B, 苅部樹彦, 鳥居祥二, 笠原克昌, 小澤俊介, 清水雄輝, 赤池陽水, 相場俊英, 植山良貴, 奥野祥二 A, 田村忠久 A, 片寄祐作 B 目次 研究目的 実験概要 データ解析方法 解析の流れ 検出器の座標較正, シャワートリガーと混入粒子除去条件 陽電子に関する実験結果とシミュレーションとの比較

More information

アトラスバレルSCT用量産モジュールの品質保証のシステム

アトラスバレルSCT用量産モジュールの品質保証のシステム 1 2 (LHC) ( ) CERN 2006 4 14 TeV LHC 1989 2000 (LEP) LHC 40 MHz 10 34 cm 2 1 ( 3 10 33 cm 2 s 1 ) LHC 10 (ATLAS) LHC LHC 22 m 46 m 15,000 t (SCT) (TRT) SCT (Semi-Conductor Tracker) ( ) SCT SCT 4 ASIC12

More information

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力を用意 密着取付可能 アプリケーション例 容積式流量計のパルス信号を単位パルスに変換 機械の回転による無接点信号を単位パルスに変換

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

τ-→K-π-π+ν τ崩壊における CP対称性の破れの探索

τ-→K-π-π+ν τ崩壊における CP対称性の破れの探索 τ - K - π - π + ν τ 崩壊における CP 対称性の破れの探索 奈良女子大学大学院人間文化研究科 物理科学専攻高エネルギー物理学研究室 近藤麻由 1 目次 はじめに - τ 粒子の概要 - τ - K - π - π + ν τ 崩壊における CP 対称性の破れ 実験装置 事象選別 τ - K - π - π + ν τ 崩壊の不変質量分布 CP 非対称度の解析 - モンテカルロシミュレーションによるテスト

More information

CdTe γ 02cb059e :

CdTe γ 02cb059e : CdTe γ 02cb059e : 2006 5 2 i 1 1 1.1............................................ 1 1.2............................................. 2 1.3............................................. 2 2 3 2.1....................................

More information

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP SiTCP ライブラリ 第 1.1 版 2012 年 10 月 24 日 内田智久 Electronics system group, IPNS, KEK 1 / 12 履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/24 1.1 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

25 3 4

25 3 4 25 3 4 1 µ e + ν e +ν µ µ + e + +ν e + ν µ e e + TAC START STOP START veto START (2.04 ± 0.18)µs 1/2 STOP (2.09 ± 0.11)µs 1/8 G F /( c) 3 (1.21±0.09) 5 /GeV 2 (1.19±0.05) 5 /GeV 2 Weinberg θ W sin θ W

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Xilinx FPGA Kinxte-160T-1 への High-resolution TDC の実装 2017.10.02 本多良太郎 ( 東北大学原子核物理 ) 1 J-PARCハドロン実験 K1.8実験 エリア 二次ビーム ライン 陽子ビーム T1標的 High-p/K1.1 実験エリア ハドロン実験の特徴 遅い取り出しによるDCビームのためランダムトリガーによるDAQ p,k,p分離のために時間分解能100

More information

仕様 ハードウェア仕様 レシーバー側電源 消費電力 同梱 AC アダプター使用時入力 :AC100 V 50Hz/60 Hz 出力 :DC57 V / 1.14 A PoE 給電装置使用時 DC48 V / 265 ma 同梱 AC アダプター使用時 DC 57 V :1.14 A / 約 65 W

仕様 ハードウェア仕様 レシーバー側電源 消費電力 同梱 AC アダプター使用時入力 :AC100 V 50Hz/60 Hz 出力 :DC57 V / 1.14 A PoE 給電装置使用時 DC48 V / 265 ma 同梱 AC アダプター使用時 DC 57 V :1.14 A / 約 65 W 製品の仕様 付属品およびデザインは予告なく変更する場合があります 記載内容は 2015 年 11 月現在のものです 同軸 -LAN コンバーター WJ- / WJ- / WJ-PC200 概要 レシーバー側 4ch レシーバー側 1ch カメラ側 本機は同軸 -LAN コンバーターで レシーバー側とカメラ側を組み合わせて使用します 既存の同軸ケーブルを利用し 少ない初期投資で長距離伝送や高速通信などのデジタルデータ伝送を実現します

More information

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 目次 1. 配線方法... 3 2. KV-Studio 設定... 6 3. 制御方法... 7 4. 一般仕様... 9 2 1. 配線方法 A B C 3 4 2 E 1 D 購入時の内容物 番号 項目 1 2 3 SP-1221 基板 MIL34 ピンフラットケーブル 2m(KV-C16XTD)

More information

Thick-GEM 06S2026A 22 3

Thick-GEM 06S2026A 22 3 Thick-GEM 06S2026A 22 3 (MWPC-Multi Wire Proportional Chamber) MPGD(Micro Pattern Gas Detector) MPGD MPGD MPGD MPGD GEM(Gas Electron Multiplier) GEM GEM GEM Thick-GEM GEM Thick-GEM 10 4 Thick-GEM 1 Introduction

More information

Analysis of π0, η and ω mesons in pp collisions with a high pT photon trigger at ALICE

Analysis of π0, η and ω mesons in pp collisions with a high pT photon trigger at ALICE Analysis of π 0, η and ω mesons in pp collisions with a high energy photon trigger at ALICE ( 高エネルギー光子トリガーを用いた陽子 + 陽子衝突における π 0 η ω 中間子の解析 ) 広島大学院理学研究科修士課程物理科学専攻 ( クォーク物理学研究室 ) 八野哲 (M116588) 修士論文発表会 クォーク

More information

LHC ATLAS W µν Z µµ

LHC ATLAS W µν Z µµ 7TeV 35-67018 2011 / 01 / 20 LHC ATLAS W µν Z µµ 2011 1 20 2 2011 1 20 3 pp pp SppS (CERN): UA1 UA2 s = 0.63 TeV Tevatoron (Fermilab): CDF D0 s = 1.8, 1.96 TeV RHIC (BNL): PHENIX s = 0.5 TeV W µν ATLAS

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

Microsoft Word - SOY_Manual10.docx

Microsoft Word - SOY_Manual10.docx SOY M ユーザーガイド SOY_M(v.) 年 月 日 株式会社 BeeBeansTechnologies( 以下 BBTech といいます ) では BBTehch SOY 利用における使用目的のみにこの文書を開示します この文書に明記されている場合を除き 電子 機械 複写 録音を含め いかなる形態または手段においても BBTech の書面による事前の許可なく資料をコピー 複製 配布 再発行

More information

Hasegawa_JPS_v6

Hasegawa_JPS_v6 ATLAS W, トップクォークの相互作用と W ボゾン偏極 トップ(t)クォーク 素粒子中で最大質量(73.3.9 GeV) 崩壊事象中に New physics の寄与が期待できる ハドロン化の前に崩壊 素粒子として性質を検証できる t SM V-A interaction + NP SM + New Physics SM+NP Contribution from NP Longitudinal

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

形式 :KAPU プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 20

形式 :KAPU プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 20 プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 2000V AC 密着取付可能 9012345678 ABCDEF SPAN ZERO CUTOUT CUTOUT ADJ.

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

CANON_IT_catalog_201709

CANON_IT_catalog_201709 Image processing products Hardware /Software Image processing products Hardware /Software INDEX P7 MatroxRadient Pro CL P8P17 MatroxRadient ev-cxp MatroxRadient ev-cl MatroxSolios MatroxSolios MatroxSolios

More information

形式 :AER 直流出力付リミッタラーム AE UNIT シリーズ 測温抵抗体リミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 リニアライズ バーンアウト ( 断線時出力振切れ ) 付 定電流式アクティブブリッジ方式により入力配線の抵抗値は 200Ω まで可能 サムロータリスイッチ

形式 :AER 直流出力付リミッタラーム AE UNIT シリーズ 測温抵抗体リミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 リニアライズ バーンアウト ( 断線時出力振切れ ) 付 定電流式アクティブブリッジ方式により入力配線の抵抗値は 200Ω まで可能 サムロータリスイッチ 直流出力付リミッタラーム AE UNIT シリーズ 測温抵抗体リミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 リニアライズ バーンアウト ( 断線時出力振切れ ) 付 定電流式アクティブブリッジ方式により入力配線の抵抗値は 200Ω まで可能 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 ) リレー接点は

More information

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 8 + J/ψ ALICE B597 : : : 9 LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 6..................................... 6. (QGP)..................... 6.................................... 6.4..............................

More information

Microsoft PowerPoint - nakamuraJPS2005av2

Microsoft PowerPoint - nakamuraJPS2005av2 LHC 加速器 ATLAS 実験における τレプトン対に崩壊するヒッグス粒子探索に関するシミュレーション Introduction Motivation Tau identification Requirement for Rejection conclusion 中村浩二 ( 筑波大物理 ), 田中純一, 浅井祥仁, 神前純一, 陣内修, 原和彦 Introduction(1) LHC LHC @

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

Microsoft Word - 02_PCIe特集_ボード設計.doc

Microsoft Word - 02_PCIe特集_ボード設計.doc PCI Express ボード設計特集 - ボードを検討されている方必見!- 2006 年 11 月第 2 回 目次 PCI Express ボード設計特集 -ボードを設計されている方必見!-... 2 1 PALTEK PCI Express 評価ボード -PTKB -... 2 1.1 PALTEK PCI Express 評価ボードのコンセプト... 2 1.2 多くのメリット... 2 1.3

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

1 5 1.1................................ 5 1.2 MPGD.......................................... 6 1.2.1 GEM...................................... 6 1.2.2

1 5 1.1................................ 5 1.2 MPGD.......................................... 6 1.2.1 GEM...................................... 6 1.2.2 19 GEM 2 2008/3/13 1 5 1.1................................ 5 1.2 MPGD.......................................... 6 1.2.1 GEM...................................... 6 1.2.2 MICROMEGAS................................

More information

Λ (Λ ) Λ (Ge) Hyperball γ ΛN J-PARC Λ dead time J-PARC flash ADC 1 dead time ( ) 1 µsec 3

Λ (Λ ) Λ (Ge) Hyperball γ ΛN J-PARC Λ dead time J-PARC flash ADC 1 dead time ( ) 1 µsec 3 19 Λ (Λ ) Λ (Ge) Hyperball γ ΛN J-PARC Λ dead time J-PARC flash ADC 1 dead time ( ) 1 µsec 3 1 1 1.1 γ ΛN................. 1 1.2 KEK J-PARC................................ 2 1.2.1 J-PARC....................................

More information

untitled

untitled ATLAS Takashi Kuwabara 2007 1 23 Abstract CERN ATLAS 1 LHC Large Hadron Collider 2007 11 ATLAS LHC 1 ATLAS 3 LVL1 LVL2 EF LVL1 LVL2 EF LVL1 TGC TGC TGC Contents 1 LHC 1 1.1... 1 1.2 LHC... 2 2 ATLAS Experiment

More information

JPS2012spring

JPS2012spring BelleII 実験用 TOP カウンターの性能評価 2012.7.7( 土 ) 名古屋大学高エネルギー物理学研究室 (N 研究室 ) 有田義宣 BelleII に搭載する粒子識別装置 TOP カウンター 2 BelleII 実験 もっとも識別の難しい π/k 識別 BelleⅡ 実験は Belle 実験をさらに高輝度化 (40 倍 ) し 大量の B 中間子からの稀崩壊現象を探る電子陽電子コライダー

More information

MU120138A 10ギガビットイーサネットモジュール 製品紹介

MU120138A 10ギガビットイーサネットモジュール 製品紹介 Product Introduction MU120138A 10 ギガビットイーサネットモジュール MD1230B データクオリティアナライザ MP1590B ネットワークパフォーマンステスタ MU120138A 次世代 10GbE 測定モジュール 製品紹介 アンリツ株式会社 Slide 1 Express Flow 10GbE module MU120138A - 10 Gigabit Ethernet

More information

Drift Chamber

Drift Chamber Quench Gas Drift Chamber 23 25 1 2 5 2.1 Drift Chamber.............................................. 5 2.2.............................................. 6 2.2.1..............................................

More information

GTR Board

GTR Board TB-FMCH-12GSDI ご購入に際してのご注意 1 変更履歴版数 日付 内容 担当者 Rev.1.00 2015/06/25 初版 天野 Rev.1.01 2015/07/22 2 章 Pre-production 品に関する記載を削除 天野 2016/09/16 3 章評価環境を更新 4 章ボードの機能評価状況を更新 6 章リファレンスデザインのダウンロード先を追加 森田 2 目次 1. 概要と関連書類...

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 : テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :TMA TMT/TMR) の更新用 1 入出力の種類 E1:Di2 点 +Do2 点 ( リレー ) E2:Di2 点

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

Singapore Contec Pte Ltd. Opening Ceremony

Singapore Contec Pte Ltd. Opening Ceremony M2M/IoT ソリューション CONPROSYS FIT プロトコル通信サンプルタスク 2018 年 03 月 06 日株式会社コンテック 1 必要機材 本サンプルを利用するに当り 最低限必要な機材を以下に示します 動作確認や信号状況を変化させるためのスイッチ センサ類は適宜ご用意下さい 品名 型式 必要数 メーカー M2Mコントローラ CPS-MC341-ADSC1-111 2 CONTEC ノートPC

More information

Ws shojia 2016x mini

Ws shojia 2016x mini 16 -Feb 2017 ILC 飛跡測定器における GEM 型ゲート装置の特性評価 Characteristic evaluation of Gating GEM in ILC track measuring instrument 平成 28 年度修士論文審査会 Master's thesis presentation 岩手大学大学院工学研究科電気電子 情報システム工学専攻 博士前期課程 2 年

More information

= hυ = h c λ υ λ (ev) = 1240 λ W=NE = Nhc λ W= N 2 10-16 λ / / Φe = dqe dt J/s Φ = km Φe(λ)v(λ)dλ THBV3_0101JA Qe = Φedt (W s) Q = Φdt lm s Ee = dφe ds E = dφ ds Φ Φ THBV3_0102JA Me = dφe ds M = dφ ds

More information

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 ネットワークシステム B- 6-164 DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 早稲田大学基幹理工学研究科情報理工学専攻 1 研究の背景 n インターネットトラフィックが増大 世界の IP トラフィックは 2012

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と FPGA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装 するには? 1 今までネットワークに関連するL1,L2,(L3) の世界とハードウェアの関係を見てきた 中身のよくわからない部分としてASICで構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない

More information

RLCタトウ展開入稿データ-2

RLCタトウ展開入稿データ-2 ROTARY LINK CONNECTOR PRODUCT GUIDE Realize the imagination. Imagination into reality. ROTARY LINK CONNECTOR 2 ROTARY LINK CONNECTOR PRODUCT GUIDE 超小型 高速信号伝送タイプ microrlc-g( 片方向 ) 外形寸法 17 19mm( 突起物含まず )

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 ) リレー接点は 110V DC 使用可 AEDY-12345-67 価格基本価格 75,000 円加算価格 110V

More information

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc) DDR1/DDR2 ロジックアナライザ ソリューション構成ガイド Ver June/19/2006 機能と特徴 : Agilent 16900ロジックアナライザを使用して DDR1 および DDR2 システムのロジックロジック検証検証を行います 実際にシステムを組み上げた時に想定通りに動作しない場合 信号間のタイミングやコマンドの確認をします ロジックアナライザのEyeScan 機能を用いると信号品質を素早く把握することも出来ます

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

d

d ILC 崩壊点検出器の為の高精細 CCD 読み出し回路の研究 d s c b b higgs e 2012 東北大学加藤恵里子 1 2 崩壊点検出器 < 性能を決める要素 > 読み出し速度等デザインを決める重要事項 LHC 放射線耐性 (flavor tag) Belle2 Trigger rate (B の崩壊寿命差 σ Z

More information

BESS Introduction Detector BESS (BESS-TeVspectrometer) Experimetns Data analysis (1) (2) Results Summary

BESS Introduction Detector BESS (BESS-TeVspectrometer) Experimetns Data analysis (1) (2) Results Summary Measurements of Galactic and Atmospheric Cosmic-Ray Absolute Fluxes BESS Introduction Detector BESS (BESS-TeVspectrometer) Experimetns Data analysis (1) (2) Results Summary Introduction 90% 9% 100~10 6

More information

LHC ATLAS Large Hadron Collider 27km 2010 7TeV ~48pb -1 ATLAS LHC Higgs Muon spectrometer MDT RPC,TGC

LHC ATLAS Large Hadron Collider 27km 2010 7TeV ~48pb -1 ATLAS LHC Higgs Muon spectrometer MDT RPC,TGC LHC ATLAS Large Hadron Collider 27km 2010 7TeV ~48pb -1 ATLAS LHC Higgs Muon spectrometer MDT RPC,TGC TGC RPC ATLAS r : φ : θ : y-z : η=-ln(tan(θ/2)) Resistive Plate Chamber η

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

卒業研究報告

卒業研究報告 卒業研究報告 題 目 VCSEL-array 指導教員 報告者 平成 14 年 2 月 5 日 高知工科大学電子 光システム工学科 1-1 3 2-1 5 2-2 7 3-1-1 VCSEL 8 3-1-2 VCSEL VCSEL-array 8 3-2 9 3-3 10 3-4-1 VCSEL 10 3-4-2 15 3-4-3 16 3-5-1 VCSEL-array 19 3-5-2 21 3-5-3

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

92% TEL ディー クルー テクノロジーズ株式会社

92% TEL ディー クルー テクノロジーズ株式会社 92% TEL.050006409 0006409 http://www.logitec.co.jp/data_recovery/ ディー クルー テクノロジーズ株式会社 http://www.hagisol.co.jp BXPCCARAMX6S BXPCCBYTMN20 40 0 30 65 2022 年まで 産予定 は変更する可能性があります 2020 年まで 産予定 は変更する可能性があります

More information

untitled

untitled FPGA SATA AE/ AVNET, INC. : 1921 : 1955 / : 1960 NYSE - AVT ( Sector : Technology ) CEO: Roy Vallee ( : : : 11,000 : KPMG LLP : 6 30 Fortune 500 ( 2006 212 ) InformationWeek 500 ( 2004 3 ) Fortune Top50

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

共通部機器仕様構造 : 壁取付シャーシに避雷器 モデム 入出力ユニットをマウント接続方式 回線 :M4 ねじ端子接続 入出力 電源 :M3.5 ねじ端子接続 接地 :M4 ねじ端子接続シャーシ材質 : 鋼板に黒色クロメート処理ハウジング材質 : 難燃性黒色樹脂アイソレーション : 回線 - 入出力

共通部機器仕様構造 : 壁取付シャーシに避雷器 モデム 入出力ユニットをマウント接続方式 回線 :M4 ねじ端子接続 入出力 電源 :M3.5 ねじ端子接続 接地 :M4 ねじ端子接続シャーシ材質 : 鋼板に黒色クロメート処理ハウジング材質 : 難燃性黒色樹脂アイソレーション : 回線 - 入出力 DAST シリーズ SS3 : 接点 アナログ パルス入力 +190,000 円 テレメータシステム主な機能と特長 小形テレメータシステム 回線用避雷器を標準装備 ( 財 ) 電気通信端末機器審査協会の技術的条件適合認定済み 回線 入出力 電源間は電気的に絶縁 入出力ユニット モデムユニット 避雷器は取扱いが容易なプラグイン構造 自己診断機能内蔵 接点入出力ユニットはモニタランプ付 形式 :DAST-20-12-K

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質 形式 :SML スーパー M UNIT シリーズ リモート入出力ユニット (NestBus 用 ) 主な機能と特長 NestBus 接続用のリモート入出力ユニット 分散設置 増設が簡単なオールインワン構造 伝送路はより対線 伝送端子は脱着可能なコネクタ式を採用 自己診断機能内蔵 接点入出力ユニットは入出力状態表示ランプ付 SML-R2 以外 SML-R2 R3:Ai4 点 +Ao4 点 150,000

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

データシート RX1032/RX ch 温度 電圧測定計測装置 PRECISION TEMPERA TURE & V OL T A GE MEASUREMENTS FOR R UGGED ENVIR ONMENTS アプリケーション 大型エンジンテスト 耐環境タイプ状態監視モニタリング

データシート RX1032/RX ch 温度 電圧測定計測装置 PRECISION TEMPERA TURE & V OL T A GE MEASUREMENTS FOR R UGGED ENVIR ONMENTS アプリケーション 大型エンジンテスト 耐環境タイプ状態監視モニタリング データシート 32ch 温度 電圧測定計測装置 PRECISION TEMPERA TURE & V OL T A GE MEASUREMENTS FOR R UGGED ENVIR ONMENTS アプリケーション 大型エンジンテスト 耐環境タイプ状態監視モニタリング 概要 32 チャンネルサーモカップル 電圧測定 IP 65 RATED ENCLOSURE, 5% TO 95% 温度精度 :0.2

More information

ACモーター入門編 サンプルテキスト

ACモーター入門編 サンプルテキスト 技術セミナーテキスト AC モーター入門編 目次 1 AC モーターの位置付けと特徴 2 1-1 AC モーターの位置付け 1-2 AC モーターの特徴 2 AC モーターの基礎 6 2-1 構造 2-2 動作原理 2-3 特性と仕様の見方 2-4 ギヤヘッドの役割 2-5 ギヤヘッドの仕様 2-6 ギヤヘッドの種類 2-7 代表的な AC モーター 3 温度上昇と寿命 32 3-1 温度上昇の考え方

More information

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2 2 1 2 2 224 48 7 11 15 12 2 2 48 21 1 4 IEEE1394 USB1.1 USB2. 1 2 1.5 12 1.5 12 (Low speed) (Full speed) 4 48 (High speed) 5 5 * 29 年には USB3. がリリースされる予定で 5Gbps の SuperSpeed が追加される 224 4824 TP4 TP3 TP2

More information

untitled

untitled SPring-8 RFgun JASRI/SPring-8 6..7 Contents.. 3.. 5. 6. 7. 8. . 3 cavity γ E A = er 3 πε γ vb r B = v E c r c A B A ( ) F = e E + v B A A A A B dp e( v B+ E) = = m d dt dt ( γ v) dv e ( ) dt v B E v E

More information