Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Size: px
Start display at page:

Download "Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト"

Transcription

1 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core Logic Core Logic Tested JTAG Device Connection JTAG Device 2 Altera Corporation 3

2 IEEE Std. 49. BST Arria GX IEEE Std. 49. BST IEEE Std. 49. BST IEEE Std. 49. IEEE Std. 49. BST JTAG I/O IEEE Std. 49. BST IEEE Std. 49. BST IEEE Std. 49. BST BSDL (Boundary Scan Description Language) BST Arria GX ICR IEEE Std. 49. IEEE Std.49. BST IEEE Std. 49. Arria GX Arria GX Volume 2 Arria GX JTAG Arria GX Stratix II Stratix II GX Stratix Cyclone II Cyclone JTAG 7 JTAG Arria GX Stratix II Stratix II GX Stratix Cyclone II Cyclone 8 SignalTap II IEEE Std. 49. BST IEEE Std. 49. BST Arria GX TDI TDO TMS TCK 4 TRST TCK TDI TMS TRST TDO I/O 4 V CCIO JTAG 3.3 V V CCPD JTAG I/O 3 2 Altera Corporation Arria GX Volume 2

3 Arria GX IEEE 49. (JTAG) JTAG 3 9 JTAG I/O 3 3. IEEE Std. 49. TDI TCK TDO TCK TMS TAP (Test Access Point) TCK TCK TMS TMS TCK TCK BST TRST Low Low JTAG GND IEEE Std. 49. BST TDI TDO Altera Corporation 3 3 Arria GX Volume 2

4 IEEE Std. 49. BST 3 2 IEEE Std IEEE Std. 49. Instruction Register () TDI UPDATEIR CLOCKIR SHIFTIR TDO TMS TCLK TRST () TAP Controller UPDATEDR CLOCKDR SHIFTDR Instruction Decode Data Registers Bypass Register Boundary-Scan Register () a Device ID Register ICR Registers 3 2 : () IEEE Std. 49. TAP TAP 3 8 IEEE Std. 49. BST TMS TCK TAP TDI TDO TDI 3 4 Altera Corporation Arria GX Volume 2

5 Arria GX IEEE 49. (JTAG) IEEE Std. 49. TDI TDO Arria GX I/O 3 Arria GX Arria GX Volume 3 3 IEEE Std Internal Logic Each peripheral element is either an I/O pin, dedicated input pin, or dedicated configuration pin. TAP Controller TDI TMS TCK TRST () TDO Altera Corporation 3 5 Arria GX Volume 2

6 IEEE Std. 49. Arria GX I/O Arria GX 3 BSC OUTJ OEJ PIN_IN PIN_OUT PIN_OE IEEE Std. 49. BST TAP MODE SDI SDO TDI TDO 3 4 Arria GX I/O 3 4. Arria GX IEEE Std. 49. BST I/O BSC Capture Registers SDO Update Registers INJ PIN_IN D Q D Q INPUT INPUT From or To Device I/O Cell Circuitry And/Or Logic Array OEJ D OE Q D OE Q V CC PIN_OE OUTJ D Q OUTPUT D Q OUTPUT PIN_OUT Output Buffer Pin SDI SHIFT CLOCK UPDATE HIGHZ MODE Global Signals 3 6 Altera Corporation Arria GX Volume 2

7 Arria GX IEEE 49. (JTAG) 3 2 Arria GX 3 2. Arria GX () Output Capture OE Capture Input Capture Output Update OE Update Input Update I/O OUTJ OEJ PIN_IN PIN_OUT PIN_OE INJ NA PIN_IN N.C. (2) N.C. (2) N.C. (2) PIN_IN (3) PIN_IN N.C. (2) N.C. (2) N.C. (2) PIN_IN (4) OEJ PIN_IN N.C. (2) N.C. (2) N.C. (2) PIN_IN (5) OUTJ OEJ PIN_IN N.C. (2) N.C. (2) N.C. (2) PIN_IN OUTJ (6) OUTJ N.C. (2) N.C. (2) N.C. (2) OUTJ 3 2 : () TDI TDO TMS TCK V CC GND VREF TEMP_DIODE BSC (2) N.C. (3) PLL_ENA nconfig MSEL MSEL MSEL2 MSEL3 nce VCCSEL PORSEL nio_pullup (4) CONF_DONE nstatus (5) DCLK (6) nceo Altera Corporation 3 7 Arria GX Volume 2

8 IEEE Std. 49. BST IEEE Std. 49. BST Arria GX IEEE Std. 49. BST SAMPLE/PRELOAD EXTEST BYPASS IDCODE IEEE Std. 49. USERCODE IEEE Std. 49. CLAMP TDI TDO HIGHZ I/O BST BST Arria GX Volume IEEE Std. 49. TAP TCK 6 TMS IEEE Std TAP 3 8 Altera Corporation Arria GX Volume 2

9 Arria GX IEEE 49. (JTAG) 3 5. IEEE Std. 49. TAP TEST_LOGIC/ TMS = RESET TMS = SELECT_DR_SCAN TMS = SELECT_IR_SCAN TMS = RUN_TEST/ IDLE TMS = TMS = TMS = TMS = TMS = CAPTURE_DR TMS = CAPTURE_IR TMS = TMS = SHIFT_DR TMS = SHIFT_IR TMS = TMS = TMS = EXIT_DR TMS = TMS = EXIT_IR TMS = TMS = PAUSE_DR TMS = PAUSE_IR TMS = TMS = TMS = TMS = EXIT2_DR TMS = EXIT2_IR TMS = TMS = TMS = UPDATE_DR TMS = UPDATE_IR TMS = TMS = TAP TEST_LOGIC/RESET BST IDCODE TAP TEST_LOGIC/RESET TMS 5TCK High TRST Low TAP TEST_LOGIC/RESET TEST_LOGIC/RESET TAP TMS High TCK TRST Low Altera Corporation 3 9 Arria GX Volume 2

10 IEEE Std. 49. BST 3 6 IEEE Std IEEE Std. 49. TMS TDI t JCP t JPSU_TDI t JCH t JCL t JPSU_TMS t JPH TCK t JPZX t JPCO t JPXZ TDO Signal to be Captured Signal to be Driven t JSZX t JSSU t JSH t JSCO t JSXZ IEEE Std. 49. TAP (SHIFT_IR) TDI TCK TMS TDI TDOTAP RESET SHIFT_IR TAP TMS 3 Altera Corporation Arria GX Volume 2

11 Arria GX IEEE 49. (JTAG) 3 7. TCK TMS TDI TDO TAP_STATE SHIFT_IR RUN_TEST/IDLE SELECT_IR_SCAN TEST_LOGIC/RESET SELECT_DR_SCAN CAPTURE_IR EXIT_IR TDOSHIFT_IR SHIFT_DR TDO TCK TCK SHIFT_IR TDO TCK TDO SHIFT_IR TAP TMS Low SHIFT_IR SHIFT_IR TCK TDI EXIT_IR EXIT_IR TMS High EXIT_IR TDO TDO SHIFT_IR SHIFT_DR TAP SAMPLE/PRELOAD 3 5 EXTEST 3 7 BYPASS Altera Corporation 3 Arria GX Volume 2

12 IEEE Std. 49. BST SAMPLE/PRELOAD SAMPLE/PRELOAD EXTEST 3 8 SAMPLE/PRELOAD 3 2 Altera Corporation Arria GX Volume 2

13 Arria GX IEEE 49. (JTAG) 3 8. IEEE Std. 49. BST SAMPLE/PRELOAD SDO OEJ OUTJ CLOCK TAP CLOCKDR OEJ OUTJ D Q D Q D Q D Q D Q D Q INJ Capture Registers Update Registers OEJ OUTJ CLOCK TDO TDI OEJ SDI SHIFT CLOCK UPDATE SDO D Q D Q D Q D Q MODE INJ UPDATE UPDATE UPDATE EXTEST OUTJ SDI SHIFT CLOCK D Q D Q Capture Registers UPDATE Update Registers MODE Altera Corporation 3 3 Arria GX Volume 2

14 IEEE Std. 49. BST TDO TDI EXTEST 3 5 EXTEST 3 9 SAMPLE/PRELOAD SAMPLE/PRELOAD TDI TAP CAPTURE_DR SHIFT_DR TMS Low SHIFT_DR TDO TDI TDO 3 9 TDI TDO TMS 2 TCK High TAP UPDATE_DR 3 9. SAMPLE/PRELOAD TCK TMS TDI TDO SHIFT_IR TAP_STATE EXIT_IR SELECT_DR Instruction Code UPDATE_IR CAPTURE_DR Data stored in boundary-scan register is shifted out of TDO. SHIFT_DR After boundary-scan EXIT_DR register data has been UPDATE_DR shifted out, data entered into TDI will shift out of TDO. 3 4 Altera Corporation Arria GX Volume 2

15 Arria GX IEEE 49. (JTAG) EXTEST EXTEST SAMPLE/PRELOAD EXTEST High Low 3 EXTEST Altera Corporation 3 5 Arria GX Volume 2

16 IEEE Std. 49. BST 3. IEEE Std. 49. BST EXTEST OEJ OUTJ CLOCK TAP CLOCKDR PIN_IN INJ I/O OEJ OUTJ SDO D Q D Q D Q D Q D Q D Q INJ OEJ SDI SHIFT CLOCK Capture Registers UPDATE Update Registers MODE SDO D Q D Q INJ OEJ OUTJ CLOCK TDO TDI OEJ OUTJ D Q D Q D Q D Q UPDATE PIN_IN INJ I/O SDI SHIFT CLOCK Capture Registers UPDATE Update Registers MODE 3 6 Altera Corporation Arria GX Volume 2

17 Arria GX IEEE 49. (JTAG) EXTEST SAMPLE/PRELOAD EXTEST EXTEST EXTEST SAMPLE/PRELOAD TDO 3 EXTEST SAMPLE/PRELOAD TDO TDI TDO 3. EXTEST TCK TMS TDI TDO SHIFT_IR TAP_STATE EXIT_IR SELECT_DR Instruction Code UPDATE_IR CAPTURE_DR Data stored in boundary-scan register is shifted out of TDO. SHIFT_DR After boundary-scan EXIT_DR register data has been UPDATE_DR shifted out, data entered into TDI will shift out of TDO. BYPASS BYPASS 3 2 TAP SHIFT_DR TCK TDI TDO Altera Corporation 3 7 Arria GX Volume 2

18 IEEE Std. 49. BST 3 2. BYPASS TCK TMS TDI TDO Bit Bit 2 Bit 3 Bit Bit 2 Bit 4 TAP_STATE SHIFT_IR EXIT_IR SELECT_DR_SCAN Instruction Code UPDATE_IR CAPTURE_DR SHIFT_DR Data shifted into TDI on the rising edge of TCK is shifted out of TDO on the falling edge of the same TCK pulse. EXIT_DR UPDATE_DR IDCODE IDCODE IEEE Std. 49. IDCODE ID 32 ID TDI TDO IDCODE IDCODE for Arria GX Arria GX Volume USERCODE USERCODE IEEE Std. 49. UES TDI TDO ID UES 32 USERCODE ID UES ID UES UES 3 8 Altera Corporation Arria GX Volume 2

19 Arria GX IEEE 49. (JTAG) CLAMP CLAMP TDI TDO CLAMP ( ) HIGHZ HIGHZ I/O JTAG TDI TDO HIGHZ JTAG I/O JTAG V CCIO TDO TDI TDI V CCPD 3.3 V Arria GX TDO 4 V CCIO 3 3 JTAG V CCIO TDI TDO V CCIO V CCIO JTAG TDO JTAG 3 3 JTAG Altera Corporation 3 9 Arria GX Volume 2

20 JTAG I/O 3 3. TDO/TDI TDI I/O 4 Arria GX TDO V CCIO V CCIO = 3.3 V V CCIO = 2.5 V V CCIO =.8 V V CCIO =.5 V Arria GX V CCPD (3.3 V) () (2) (3) Arria GX VCC = 3.3 V () (2) (3) VCC = 2.5 V () (4) (2) (3) VCC =.8 V () (4) (2) (5) VCC =.5 V () (4) (2) (5) (6) 3 3 : () TDO V OH (MIN) = 2.4 V (2) TDO V OH (MIN) = 2. V (3) 25 Ω (4) 3.3 V (5) 2.5 V (6).8 V 3 3. JTAG Must be 3.3 V Tolerant. TDI 3.3 V V CCIO 2.5 V V CCIO Tester TDO Level Shifter.5 V V CCIO.8 V V CCIO Shift TDO to level accepted by tester if necessary. Must be.8 V tolerant. Must be 2.5 V tolerant. 3 2 Altera Corporation Arria GX Volume 2

21 Arria GX IEEE 49. (JTAG) IEEE Std. 49. BST Arria GX JTAG IEEE Std. 49. BST Arria GX FPGA BST Arria GX FPGA BYPASS IDCODE SAMPLE JTAG CONFIG_IO CONFIG_IO JTAG I/O Arria GX FPGA JTAG-BST JTAG PULSE_CONFIG nconfig Low JTAG nconfig Low Arria GX DEV_CLRn DEV_OE JTAG BST BST JTAG Arria GX IEEE Std.49. Arria GX Volume 2 Arria GX BST I/O BSDL Altera Corporation 3 2 Arria GX Volume 2

22 IEEE Std. 49. BST Quartus II Quartus II. Settings Assignments 2. Assembler 3. Always Enable Input Buffers IEEE Std. 49. BST Arria GX IEEE Std. 49. BST IEEE Std. 49. BST BST 3 2 IEEE Std. 49. BST Arria GX IEEE Std Arria GX IEEE Std IEEE Std. 49. JTAG () TMS TCK TDI TDO TRST V CC GND V CC GND 3 4 : () Arria GX JTAG JTAG 3 22 Altera Corporation Arria GX Volume 2

23 Arria GX IEEE 49. (JTAG) IEEE Std. 49. IEEE Std. 49. SHIFT_IR TDO... TAP TAP SHIFT_IR TAP SHIFT_IR RESET TMS V CC GND JTAG EXTEST SAMPLE/PRELOAD EXTEST OEJ OUTJ ICR EXTEST ICR ICR CONFIG_IO nconfig Low BST BSC BSC BSDL (Boundary-Scan Description Language) Altera Corporation 3 23 Arria GX Volume 2

24 BSDL (Boundary Scan Description Language) BSDL (Boundary Scan Description Language) VHDL BSDL (Boundary-Scan Description Language) IEEE Std. 49. BST BSDL IEEE Std. 49. Arria GX BSDL Arria GX IEEE Std. 49. BST IEEE Std. 49. EXTEST SAMPLE/PRELOAD BYPASS Bleeker, H., P. van den Eijnden, and F. de Jong. Boundary-Scan Test: A Practical Approach. Eindhoven, The Netherlands: Kluwer Academic Publishers, 993. Institute of Electrical and Electronics Engineers, Inc. IEEE Standard Test Access Port and Boundary-Scan Architecture (IEEE Std 49.-2). New York: Institute of Electrical and Electronics Engineers, Inc., 2. Maunder, C. M., and R. E. Tulloss. The Test Access Port and Boundary-Scan Architecture. Los Alamitos: IEEE Computer Society Press, Altera Corporation Arria GX Volume 2

25 Arria GX IEEE 49. (JTAG) & v. N/A Altera Corporation 3 25 Arria GX Volume 2

26 3 26 Altera Corporation Arria GX Volume 2

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Cyclone II Device Handbook

Cyclone II Device Handbook VI. Cyclone II Cyclone II JTAG 13 Cyclone II 14 Cyclone II IEEE 1149.1 (JTAG) Altera Corporation VI 1 Preliminary Cyclone II, Volume 1 13 14 / 13 2004 11 v1.1 2004 6 v1.0 14 2004 6 v1.0 AS AS 13-8 MAX

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート 2. EPC4 EPC8 & EPC16 CF52002-2.2 EPC4 EPC8 EPC16 Stratix Cyclone APEX II APEX 20K APEX 20K APEX 20KC APEX 20KE Mercury ACEX 1K FLEX 10KFLEX 10KE FLEX 10KA 4 8 16 / EPC16 EPC4 8 Stratix FPP DCLK 8 FPGA

More information

Powerデバッガ(Version1

Powerデバッガ(Version1 M2V5 Power Debugger Debug System for Boundary Scan Board バウンダリスキャンの原理 ご注意. このソフトウェアの著作権は 社にあります 2. このソフトウェアおよびマニュアルの一部または全てを無断で使用 複製することはできません 3. ソフトウェアは コンピュータ 台につきセット購入が原則となっております 4. このソフトウェアおよびマニュアルは

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

pin-csp011.xls

pin-csp011.xls CSP-011-130E(EPF10K130ERC240) ピン番号 デバイスピン名称 信号名 内容 処理 1 TCK TCK JTAG:TCK プルダウン / 内部使用 2 CONF_DONE CONF_DONE CONF_DONE プルアップ / 内部使用 3 nceo nceo CEO プルアップ / 内部使用 4 TDO TDO JTAG:TDO プルアップ / 内部使用 5 VCCINT

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

Report Template

Report Template 1 ( ) 4... 4... 4 ispvm system... 5... 6... 6... 7 I/O... 7 USB... 9... 12 ( )... 14... 15 ( ) 16... 16 Dual Boot... 16 Primary Image file... 19 USERCODE/UES... 21 I/O... 22... 24 ATE... 26 SVF... 29 SVF...

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

エンハンスド・コンフィギュレーション(EPC)デバイス・データシート

エンハンスド・コンフィギュレーション(EPC)デバイス・データシート 2016.05.04 CF52002 更新情報 サポートされるデバイス 表 1: アルテラ EPCS デバイス デバイス メモリ サイズ ( ビット ) オンチップ復元のサポート ISP サポート カスケード接続サポート 再プログラム可能 動作電圧 (V) EPC4 4,194,304 有有無有 3.3 EPC8 8,388,608 有有無有 3.3 EPC16 16,777,216 有有無有 3.3

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 USB ダウンロード ケーブルの概要... 3 1.1 USB ダウンロード ケーブル レビジョン... 3 1.2 サポートしているデバイスおよびホストシステム... 3 2 USB ダウンロード ケーブルの仕様... 4 2.1 ブロック図および寸法...4 2.2 ケーブルとボードの接続...4 2.3

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1999 5 ver. 3 Application Note 100 Time-to-Market ISP PLD PCB ISP IEEE Std. 1149.1 JTAG Joint Test Action Group JTAG PCB ISP ISP IEEE Std.1149.1 ISP ISP

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp)

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp) LM9822 LM9822 3 Channel 42-Bit Color Scanner Analog Front End Literature Number: JAJS680 LM9822 3 42 LM9822 AFE CIS CCD CDS / LM9822 14 6MHz ADC 600 / CCD CDS CCD CIS TTL/CMOS 14 6MHz 5V 5% I/O 3.3V 10%

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD 0 XA2C256 Coolunner-II CPLD DS555 (v1.1) 2007 5 5 0 0 AEC-Q100 PPAP I Q ( ) T A = -40 C +105 C T J = +125 C (Q ) 1.8V 0.18 CMOS CPLD - ( Coolunner -II ) - 1.5V 3.3V - 100 VQFP (80 ) - 144 TQFP (118 ) -

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

untitled

untitled PS2 Scan CodeASCII PS2 Scan Code ASCII PS2 ASCII Pin IC TTL C 1byte ASCII 2byte(FunctionKey ) Shift,Ctrl,Alt,CapsLock,NumLock Function Key Pin Vcc(V) GND N.C PIC12F629 Or PS2 Clock PIC12F675 Out Put Enable

More information

インテル(R) Itanium(R) 2 プロセッサ・ハードウェア・デベロッパーズ・マニュアル

インテル(R) Itanium(R) 2 プロセッサ・ハードウェア・デベロッパーズ・マニュアル Itanium 2 2002 6 1999-2003 Intel Corporation : 251109J-001 Web: www.intel.co.jp/jp/developer/ ( ) http://developer.intel.com ( ) Itanium Intel Corporation * 2002-2003 Intel Corporation. I2C Phillips 2

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

TXZ Family Reference Manual BSC-A

TXZ Family Reference Manual BSC-A 32 ビット RISC マイクロコントローラ TXZ ファミリ リファレンスマニュアル バウンダリスキャン (BSC-A) Revision 1.2 2017-07 2017-07-14 1 / 19 Rev. 1.2 2017 Toshiba Electronic Devices & Storage Corporation 目次 序章... 4 関連するリファレンスマニュアル... 4 表記規約...

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

30-80 MHz 10Bit Bus LVDS Serial/Deserial w/ IEEE (JTAG) & at-speed B(jp)

30-80 MHz 10Bit Bus LVDS Serial/Deserial w/ IEEE (JTAG) & at-speed B(jp) SCAN921025,SCAN921226 SCAN921025 and SCAN921226 30-80 MHz 10 Bit Bus LVDS Serializer and Deserializer with IEEE 1149.1 (JTAG) and at-speed BIST Literature Number: JAJS977 SCAN921025/SCAN921226 30-80MHz

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

Fault Detection and Diagnosis 実装不良の検出と診断 Fault Detection vs Fault Diagnosis 実装不良の 検出 と 診断 の違いについて Detecting Faults 実装不良の検出 Fault diagnosis limitations

Fault Detection and Diagnosis 実装不良の検出と診断 Fault Detection vs Fault Diagnosis 実装不良の 検出 と 診断 の違いについて Detecting Faults 実装不良の検出 Fault diagnosis limitations JTAG IEEE.1149.X Fault Detection and Diagnosis 実装不良の検出と診断 Dr Bob Storey, Principal Software Engineer XJTAG Ltd Degree in electronics, Ph.D in electrical engineering, from Cambridge University. 6 years

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp 2. SIV53002-3.0 Stratix IV GX 2 3 2 7 2 9 2 10 2 11 2 13 2 1 2009 3 Altera Corporation Stratix IV Device Handbook Volume 3 2 2 2 2 1. Architecture Device Speciication Transceiver Coniguration Select Options

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

untitled

untitled COPAL ELECTRONICS 32 (DP) DP INC 2 3 3 RH RL RWB 32 C S U/D INC U/D CS 2 2 DP7114 32 SOIC CMOS 2.5 V - 6.0 V / 10 kω 50 kω 100 kω TSSOP MSOP /BFR INC / U/D RH RoHS GND RWB RL CS VCC 2017 6 15 1 : R = 2

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

MAX1213N EV.J

MAX1213N EV.J 19-0610; Rev 0; 7/06 DESIGNATION QTY DESCRIPTION C1 C9, C13, C15, C16, C18, C19, C20, C35 C39, C49, C52 22 C10, C27, C28, C40 4 C11, C30 2 C12, C17, C58 C71 0 C14, C33 2 C21 C24 4 C25, C26, C51, C53, C54,

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

pc910l0nsz_j

pc910l0nsz_j PC90L0NSZ0F PC90L0NSZ0F µ µ µ PC90L PC90L Date Sep.. 00 SHARP Corporation 7 NC Anode Cathode NC 7 GND V O (Open collector) V E (Enable) V CC H H L L H H H L H L L H L: (0) H: () PC90L0NSZ0F PC90L0YSZ0F

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

MAX 10 FPGA コンフィグレーション・ユーザーガイド

MAX 10 FPGA コンフィグレーション・ユーザーガイド UG-M10CONFIG 2017.02.21 更新情報 フィードバック 目次 目次 1 MAX 10 FPGA コンフィグレーションの概要...4 2 MAX 10 FPGA のコンフィグレーション手法と機能...5 2.1 コンフィグレーション手法... 5 2.1.1 JTAG コンフィグレーション... 5 2.1.2 内部コンフィグレーション... 6 2.2 コンフィグレーション機能...

More information

original: 2011/11/5 revised: 2012/10/30, 2013/12/ : 2 V i V t2 V o V L V H V i V i V t1 V o V H V L V t1 V t2 1 Q 1 1 Q

original: 2011/11/5 revised: 2012/10/30, 2013/12/ : 2 V i V t2 V o V L V H V i V i V t1 V o V H V L V t1 V t2 1 Q 1 1 Q original: 2011/11/5 revised: 2012/10/30, 2013/12/2 1 1 1: 2 V i V t2 V o V L V H V i V i V t1 V o V H V L V t1 V t2 1 Q 1 1 Q 2 2 1 2 1 c 2013 2 2: V i Q 1 I C1 V C1 V B2 I E V E V E Q 1 Q 1 Q 2 Q 2 Q

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

RMLV0816BGBG Datasheet

RMLV0816BGBG Datasheet 8Mbit 低 消 費 電 力 SRAM (512k word 16bit) R10DS0229JJ0200 Rev.2.00 概 要 RMLV0816BGBG は 524,288 ワード 16 ビット 構 成 の 8M ビットスタティック RAM です Advanced LPSRAM 技 術 を 採 用 し 高 密 度 高 性 能 低 消 費 電 力 を 実 現 しております したがって RMLV0816BGBG

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

基盤設計時資料

基盤設計時資料 Rev.1.1 JTAG_Board_Design_Rev1.1.ppt Page: 1 JTAG_Board_Design_Rev1.1.ppt Page: 2 JTAG_Board_Design_Rev1.1.ppt Page: 3 JTAG_Board_Design_Rev1.1.ppt Page: 4 JTAG_Board_Design_Rev1.1.ppt Page: 5 ispjtag

More information