1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

Size: px
Start display at page:

Download "1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合("

Transcription

1 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする SOTB (Silicon on Thin Buried Oxide) トランジスタの大規模集積化に成功しました 論理集積回路の省エネ化には CMOS トランジスタの動作電圧低減が有効とされていますが 従来のバルク CMOS では動作特性ばらつきのためにこれが困難でした このため ばらつきの小さいトランジスタ構造への切り替えが世界的な潮流となっています 今回 LEAP 独自の SOTB と呼ぶ低ばらつきトランジスタを用いて ばらつきの影響を受けやすく超低電圧動作が最も困難な SRAM で 動作電圧が 0.37V まで低減出来ることを実証しました さらに SOTB のもう一つの特徴である基板バイアス制御により リーク電流を大幅に抑制し 環境温度が変化しても動作特性が一定に維持できることも実証しました これらの技術により 超低電圧動作で超低電力の LSI 実現に目処がつきました 本技術を適用した超低電力 LSI は 機器組み込み用や自動車用など様々な用途に使われるマイコン 超低電力動作を活かしたユビキタスセンサネットワークなどへの応用が期待されます 今後も実用化を目指して 研究開発を進めてまいります 本研究は 平成 22 年度経済産業省産業技術研究開発委託費 低炭素社会を実現する超低電圧デバイスプロジェクト の委託研究として行ったものである 平成 23 年度からは NEDO 低炭素社会を実現する超低電圧デバイスプロジェクト に係わる業務委託として実施している また 研究開発遂行にあたっては 産業技術総合研究所とルネサスエレクトロニクス株式会社にご協力頂いた なお 今回の技術の詳細は 京都府で 6 月 11 日 ( 火 ) から開催される半導体デバイス プロセス関係の国際会議 2013 Symposium on VLSI Technology にて発表します 1

2 2 金属原子移動型スイッチを用いた再構成可能 LSI の超小型化に道筋 ダイオードを搭載することで金属移動型スイッチ回路の省面積化に成功 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) と超低電圧デバイス技術研究組合 ( 理事長 : 豊木則行 / 以下 LEAP と略記 ) は このたび 超低電力再構成可能 LSI への適用を目指した金属原子移動型スイッチについて 酸化タンタルからなる BEOL ダイオードを3 端子原子スイッチの選択素子として用いることで 原子移動型スイッチの超小型化に道筋を得ました 金属原子移動型スイッチは 固体電解質中での金属イオンによる架橋と その消滅を利用したスイッチです 集積回路 (LSI) の銅配線間に組み込むことで LSI の再構成 ( 論理の組み換え ) と機能の変更を可能にするスイッチとして使えます また スイッチの抵抗と容量が小さいために動作時の電力が削減でき さらに スイッチ構成を記憶するための電力が不要な不揮発スイッチなので 待機時の電力を大幅に低減可能です このように 金属原子移動型スイッチは 再構成可能 LSI の超小型化と低消費電力化に貢献するスイッチです しかしながら 金属原子移動型スイッチを用いた再構成回路は スイッチ自身は超小型であるにも関わらず スイッチをプログラミングするための選択トランジスタが必要であったため 大規模な再構成回路を構成した場合には スイッチのサイズではなくトランジスタのサイズがチップ面積の縮小を妨げている問題がありました 今回 選択トランジスタに替えて 多層銅配線内に形成した酸化タンタルからなるダイオードを用いて 原子移動型スイッチのプログラミングが可能であることを実証しました これにより 本来超小型である金属原子移動型スイッチの利点を十分活かすことができるようになり 最小で 12F 2 のフットプリントとなるスイッチセルの超小型化に道筋を得ました 本技術は 再構成可能 LSI を超小型 低消費電力するための技術であり IT 機器の低電力化に貢献する技術であります 今後も実用化を目指して 研究開発を進めてまいります 2

3 3 CPU に混載するキャッシュメモリ向けに 微細化による高密度化を実現できるスピン注入型 MRAM を開発 動作マージンが大きくなり 微細化しても安定したメモリ動作が可能に 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) と超低電圧デバイス技術研究組合 ( 理事長 : 豊木則行 / 以下 LEAP と略記 ) は CPU に混載するキャシュメモリ向けに 微細化しても安定したメモリ動作が可能な 新構造のスピン注入型 MRAM(Spin Transfer Torque Magnetic Random Access Memory STT-MRAM) を開発しました 高速読み書き 無限回書き換え 不揮発性による低消費電力化などが可能な STT-MRAM は CPU とデータを直接やり取りするキャッシュメモリの候補として 活発に研究開発が行われています CPU の高性能化を実現するには メモリ部を微細化して混載できるキャッシュ容量を増やすことが必要ですが 微細なメモリでの十分な動作マージンの確保が課題でした 今回 STT-MRAM のデータ保持を担う MTJ(Magnetic Tunnel Junction) に新しい構造を提案し STT-MRAM の動作に大きなマージンを持たせることが可能になりました この結果 設計通りの低電圧メモリ動作が可能になり 直径 35nm の微細なメモリ素子を試作 評価した結果 安定したメモリ動作を確認しました このメモリ素子寸法は 国際半導体技術ロードマップ (ITRS) で 2021 年から 2023 年頃に量産が期待されている MRAM に相当しています LSI に混載できるキャッシュメモリ容量の増大が今後も継続して必要であり 今回の技術開発で今後 10 年程度先まで STT-MRAM での高集積化が可能であることを実証できました 3

4 4 CPU に混載するメモリ向けに 高密度化を実現できる 4 値 / セルのスピン注入型 MRAM を開発 積層した二つのメモリ素子の一括加工で大容量化と製造容易性を両立 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) と超低電圧デバイス技術研究組合 ( 理事長 : 豊木則行 / 以下 LEAP と略記 ) は システム LSI に混載するメモリ向けに 製造プロセス工数増を抑制しながら大容量化を実現できる 4 値 / セルのスピン注入型 MRAM(Spin Transfer Torque Magnetic Random Access Memory STT-MRAM) を開発しました 高速読み書き 無限回書き換え 不揮発性による低消費電力化などが可能な STT-MRAM は システム LSI に混載するメモリの候補として活発に研究開発が行われています システム LSI の高性能化を実現するには STT-MRAM を高密度化し 混載できるメモリ容量を増やすことが必要です メモリ素子の高密度化には メモリ素子の微細化の他に メモリの多値化による等価的な高密度化が有効ですが 2 値の STT-MRAM を縦積みにして多値化を実現することによる製造プロセス工数の増加 動作電圧の上昇 二つの STT-MRAM 間の相互干渉が課題でした 今回 4 値 / セルの多値メモリの上記課題解決を目指して 二つのメモリ素子を単純に積層し それを一括エッチングで加工するプロセスを開発し 多値化による製造プロセス工数の増加を抑制しました 更に 材料 構造の見直しを行い 直径 50nm の微細なメモリ素子を試作 評価の結果 0.5V 以下の低電圧下で 4 値動作を確認しました このメモリ素子面積は 2 値換算 (4 値の素子は実際の 1/2 の面積として ) では 国際半導体技術ロードマップ (ITRS) で 2021 年から 2023 年頃に量産が期待されているメモリ素子寸法に相当しています LSI に混載できるキャッシュメモリ容量は 今後も増大すると予想されています 今回 微細化による高密度化のアプローチとは異なり 多値化による高密度化を狙った開発を行い 製造コスト増を抑制しながら スピン注入型 MRAM の大容量化が可能であることを示すことができました 4

5 5 データセンター向け SSD への適用を目指した 相変化デバイスの低消費電力動作に成功 GeTe/Sb 2 Te 3 超格子膜の電荷注入機構を発見し 低消費電力動作を実証 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電力デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) は 国立大学法人筑波大学との共同研究により データセンター向け固体ストレージ SSD (Solid State Drive) への適用を目指した 低消費電力で動作する相変化デバイスの動作実証に成功しました SSD の不揮発メモリには 現在 フラッシュメモリが用いられています フラッシュメモリは多値記憶 (2 ビット記憶 ) により大容量化を達成していますが 高い内部電圧が必要なことと 低いデータ転送速度を補うために消費電力が増大する等の課題があります 今後 データセンターに用いられる SSD には これまでにない高速処理能力が求められます 特に アクセスが集中するストレージ階層に相変化デバイスを使用し これまでにない高速 低電力 高信頼などの特性を新たに SSD に付加することが重要です 今回 抵抗変化でデータを保持する相変化デバイスにおいて GeTe/Sb 2 Te 3 超格子膜の電子注入による動作の機構を見出しました さらに ばらつきの少ない GeTe/Sb 2 Te 3 超格子結晶膜を得ることで 従来の相変化デバイスと比較して 1/2 以下の電圧と 1/3 以下の電流での動作が可能となりました 開発した相変化デバイスを適用することで これまでにない高速 低電力 高信頼などの特性を SSD に付加できます さらに高性能化に伴ってチップ点数の削減による低コスト化などのシステムメリットをもたらすと同時に データセンターの低電力化に貢献することが期待されます 今後 実用化を目指した集積化実証の研究開発を進めていきます 本研究は 平成 22 年度経済産業省産業技術研究開発委託費 低炭素社会を実現する超低電圧デバイスプロジェクト に関する委託研究で 平成 23 年度からは NEDO 低炭素社会を実現する超低電圧デバイスプロジェクト に係る委託業務として実施しています デバイス試作に関しては 独立行政法人産業技術総合研究所スーパークリーンルーム (SCR) を使用し SCR 運営室にご協力頂きました なお 今回の技術の詳細は 京都府で 6 月 11 日 ( 火 ) から開催される半導体デバイス プロセス関係の国際会議 2013 Symposium on VLSI Technology にて発表します 本内容は LEAP 相変化グループと筑波大学計算科学研究センターの洗平昌晃研究員 白石賢二教授らの研究グループの共同研究によるものです LEAP は SCR を利用してデバイス試作し その物性評価や電気測定により 低消費電力で動作する相変化デバイスを実証しました 筑波大学は 最先端の計算科学手法 第一原理計算 を用いて GeTe/Sb 2 Te 3 超格子膜の電荷注入機構を明らかにしました 以上 5

報道機関各位 平成 30 年 5 月 14 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の

報道機関各位 平成 30 年 5 月 14 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の 報道機関各位 平成 30 年 5 月 1 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の向上 (91% から 97%) と 高速動作特性の向上を実証する実験に成功 標記について 別添のとおりプレスリリースいたしますので

More information

高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクト

高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクト 高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクトニクス研究拠点の土屋敬志博士研究員 ( 現在 東京理科大学 ) 寺部一弥グループリーダー 青野正和拠点長らの研究チームは

More information

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回>

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回> 企画特集 10-9 INNOVATION の最先端 Life & Green Nanotechnology が培う新技術 < 第 4 回 > プリンテッドエレクトロニクス時代実現に向けた材料 プロセス基盤技術の開拓 NEDO プロジェクトプロジェクトリーダー東京 学教授染 隆夫 に聞く 図6 4 3 解像度を変えた TFT アレイによる電子ペーパー 提供 凸版印刷 株 大面積圧力センサの開発

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

詳細な説明 研究の背景 フラッシュメモリの限界を凌駕する 次世代不揮発性メモリ注 1 として 相変化メモリ (PCRAM) 注 2 が注目されています PCRAM の記録層には 相変化材料 と呼ばれる アモルファス相と結晶相の可逆的な変化が可能な材料が用いられます 通常 アモルファス相は高い電気抵抗

詳細な説明 研究の背景 フラッシュメモリの限界を凌駕する 次世代不揮発性メモリ注 1 として 相変化メモリ (PCRAM) 注 2 が注目されています PCRAM の記録層には 相変化材料 と呼ばれる アモルファス相と結晶相の可逆的な変化が可能な材料が用いられます 通常 アモルファス相は高い電気抵抗 平成 30 年 1 月 12 日 報道機関各位 東北大学大学院工学研究科 次世代相変化メモリーの新材料を開発 超低消費電力でのデータ書き込みが可能に 発表のポイント 従来材料とは逆の電気特性を持つ次世代不揮発性メモリ用の新材料開発に成功 今回開発した新材料を用いることで データ書換え時の消費電力を大幅に低減できることを確認 概要 東北大学大学院工学研究科知能デバイス材料学専攻の畑山祥吾博士後期課程学生

More information

代センサーネットワーク モバイル情報機器 サーバー等から研究開発実施者が想定するアプリケーションにおいて 劇的な低消費電力化を志向する新しいメモリアーキテクチャ 基本ソフトウェア アルゴリズムのデザインを提示するとともに 必要に応じて間歇動作等に求められる次世代不揮発性素子の性能を提示し システムと

代センサーネットワーク モバイル情報機器 サーバー等から研究開発実施者が想定するアプリケーションにおいて 劇的な低消費電力化を志向する新しいメモリアーキテクチャ 基本ソフトウェア アルゴリズムのデザインを提示するとともに 必要に応じて間歇動作等に求められる次世代不揮発性素子の性能を提示し システムと P11001 平成 24 年度実施方針 電子 材料 ナノテクノロジー部 1. 件名 : プログラム名 IT イノベーションプログラム ( 大項目 ) ノーマリーオフコンピューティング基盤技術開発 2. 根拠法独立行政法人新エネルギー 産業技術総合開発機構法第 15 条第 1 項第 1 号ニ 3. 背景及び目的 目標スマートグリッドやクラウドコンピューティングといった流れの中 今後コンピュータが社会のあらゆる局面で活用されることが予測されるが

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

記者発表開催について

記者発表開催について 2014 年 6 月 4 日 東京工業大学広報センター長大谷清 300mm ウエハーを厚さ 4µm に超薄化 -DRAM で検証 超小型大規模三次元メモリーに威力 - 概要 東京工業大学異種機能集積研究センターの大場隆之特任教授は ディスコ 富士通研究所 PEZY Computing( ペジーコンピューティング 東京都千代田区 ) WOW アライアンス ( 用語 1) と共同で 半導体メモリー (DRAM)

More information

< 研究の背景と経緯 > ここ数十年に渡る半導体素子 回路 ソフトウェア技術の目覚ましい進展により 様々なモノがセンサー 情報処理端末を介してインターネットに接続される IoT(Internet of Things) 社会が到来しています 今後その適用先は一層増加し 私たちの日常生活においてより多く

< 研究の背景と経緯 > ここ数十年に渡る半導体素子 回路 ソフトウェア技術の目覚ましい進展により 様々なモノがセンサー 情報処理端末を介してインターネットに接続される IoT(Internet of Things) 社会が到来しています 今後その適用先は一層増加し 私たちの日常生活においてより多く - 1 - 平成 2 8 年 6 月 1 5 日 東北大学電気通信研究所 Tel: 022-217-5420( 総務係 ) 東北大学省エネルキ ー スヒ ントロニクス集積化システムセンター (CSIS) Tel: 022-217-6116( 支援室 ) 東北大学国際集積エレクトロニクス研究開発センター (CIES) Tel: 022-796-3410( 支援室 ) 東北大学原子分子材料科学高等研究機構

More information

半導体技術分野の重要技術説明資料

半導体技術分野の重要技術説明資料 5 PC HDD TMR CPP-GMR Super-RENS MEMS Super-RENS MEMS DRAM SoC 1 景ストレージ不揮発性メモリ FeRAM 1T-FeRAM MRAM MgO MTJ PRAM RRAM PMC-RAM MRAM FeRAM 背景インターネットの高速化により 映画等のオンデマンド配信が拡大 大容量コンテンツの供給 保存に対応した大容量ストレージモバイル機器の多機能化

More information

Microsoft PowerPoint - (ドラフト)グリーンITとIoT推進のための研究開発について 2016JUL_a

Microsoft PowerPoint - (ドラフト)グリーンITとIoT推進のための研究開発について 2016JUL_a グリーン IT と IoT 推進のための研究開発について 2016 年 7 月 4 日 国立研究開発法人新エネルギー 産業技術総合開発機構 IoT 推進部主任研究員明日徹 IoT -Internet of Things IoT とは 世の中に存在するおびただしい数の モノ がコンピュータによってスマート化し インターネット接続機能を備えることで 自動認識や自動制御 遠隔計測などを行うこと IDC による定義は

More information

スライド タイトルなし

スライド タイトルなし 2019. 7.18 Ibaraki Univ. Dept of Electrical & Electronic Eng. Keiichi MIYAJIMA 今後の予定 7 月 18 日メモリアーキテクチャ1 7 月 22 日メモリアーキテクチャ2 7 月 29 日まとめと 期末テストについて 8 月 5 日期末試験 メモリアーキテクチャ - メモリ装置とメモリアーキテクチャ - メモリアーキテクチャメモリ装置とは?

More information

互作用によって強磁性が誘起されるとともに 半導体中の上向きスピンをもつ電子と下向きスピンをもつ電子のエネルギー帯が大きく分裂することが期待されます しかし 実際にはこれまで電子のエネルギー帯のスピン分裂が実測された強磁性半導体は非常に稀で II-VI 族である (Cd,Mn)Te において極低温 (

互作用によって強磁性が誘起されるとともに 半導体中の上向きスピンをもつ電子と下向きスピンをもつ電子のエネルギー帯が大きく分裂することが期待されます しかし 実際にはこれまで電子のエネルギー帯のスピン分裂が実測された強磁性半導体は非常に稀で II-VI 族である (Cd,Mn)Te において極低温 ( スピン自由度を用いた次世代半導体デバイス実現へ大きな進展 ~ 強磁性半導体において大きなスピン分裂をもつ電子のエネルギー状態を初めて観測 ~ 1. 発表者 : レデゥックアイン ( 東京大学大学院工学系研究科電気系工学専攻 附属総合研究機構助教 ) ファムナムハイ ( 東京工業大学工学院電気電子系准教授 ) 田中雅明 ( 東京大学大学院工学系研究科電気系工学専攻教授 スピントロニクス学術連携研究教育センターセンター長

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

概要 東北大学金属材料研究所の周偉男博士研究員 関剛斎准教授および高梨弘毅教授のグループは 産業技術総合研究所スピントロニクス研究センターの荒井礼子博士研究員および今村裕志研究チーム長との共同研究により 外部磁場により容易に磁化スイッチングするソフト磁性材料の Ni-Fe( パーマロイ ) 合金と

概要 東北大学金属材料研究所の周偉男博士研究員 関剛斎准教授および高梨弘毅教授のグループは 産業技術総合研究所スピントロニクス研究センターの荒井礼子博士研究員および今村裕志研究チーム長との共同研究により 外部磁場により容易に磁化スイッチングするソフト磁性材料の Ni-Fe( パーマロイ ) 合金と 報道機関各位 平成 28 年 12 月 08 日 東北大学金属材料研究所産業技術総合研究所 磁気モーメントの渦の運動が可能にする省エネルギー情報記録 - ハードディスクの超高密度化と超低消費電力動作の両立に新たな道 - 発表のポイント 磁石の向きが変化しやすい Ni-Fe 合金層と 磁石の向きが変化しにくい FePt 規則合金層を組み合わせたナノ磁石を作製し 磁気記憶デバイスの情報記録のしくみである

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63>

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63> 量子効果デバイス第 11 回 前澤宏一 トンネル効果とフラッシュメモリ デバイスサイズの縮小縮小とトンネルトンネル効果 Si-CMOS はサイズの縮小を続けることによってその性能を伸ばしてきた チャネル長や ゲート絶縁膜の厚さ ソース ドレイン領域の深さ 電源電圧をあるルール ( これをスケーリング則という ) に従って縮小することで 高速化 低消費電力化が可能となる 集積回路の誕生以来 スケーリング側にしたがって縮小されてきたデバイスサイズは

More information

Microsoft Word NWQDlasers_3_v3 JT_otk_修正履歴なし 荒川_修正

Microsoft Word NWQDlasers_3_v3 JT_otk_修正履歴なし 荒川_修正 プレスリリース 2015 年 6 月 25 日 国立大学法人東京大学ナノ量子情報エレクトロニクス研究機構 世界最小量子ドットレーザの室温動作に成功 ~ 高効率ナノレーザの実用化に弾み ~ 国立大学法人東京大学 ( 総長 : 五神真 ) ナノ量子情報エレクトロニクス研究機構 ( 機構長 : 荒川泰彦 = 生産技術研究所教授 ) の荒川泰彦教授 舘林潤特任助教らは このほど 高効率ナノレーザ注

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

スピントランジスタの基本技術を開発   ― 高速・低消費電力、メモリにもなる次世代半導体 ―

スピントランジスタの基本技術を開発   ― 高速・低消費電力、メモリにもなる次世代半導体 ― スピン MOS トランジスタの基本技術を開発 高速 低消費電力 不揮発の次世代半導体 本資料は 本年米国ボルチモアで開催の IEDM(International Electron Devices Meeting 2009) における当社講演 Read/Write Operation of Spin-Based MOSFET Using Highly Spin-Polarized Ferromagnet/MgO

More information

サーマルプリントヘッド

サーマルプリントヘッド サーマルプリントヘッドモジュール サーマルプリントヘッド CONTENTS ロームの基本技術 P. 14 サーマルプリントヘッドセレクションガイド P. 15 ファクシミリ用 Aシリーズ P. 16 モバイルプリンタ用 Bシリーズ P. 16 アミューズメント ATM 用 C CGシリーズ P. 17 POS 端末用 D DGシリーズ P. 18 チケット 計量器用 DC92 DC72シリーズ P.

More information

招待論文 フルスペック 8K スーパーハイビジョン圧縮記録装置の開発 3.3 記録制御機能と記録媒体 144 Gbps の映像信号を 1/8 に圧縮した場合 18 Gbps 程度 の転送速度が要求される さらに音声データやその他のメ タデータを同時に記録すると 記録再生には 20 Gbps 程度 の転送性能が必要となる また 記録媒体は記録装置から 着脱して持ち運ぶため 不慮の落下などにも耐性のあるこ

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

Microsoft Word LenovoSystemx.docx

Microsoft Word LenovoSystemx.docx Lenovo System x シリーズ データベースサーバー移行時の ハードウェア選定のポイント 2015 年 5 月作成 1 目次 1) 本ガイドの目的... 3 2) System x3550 M3 と x3550 M5 の比較ポイント... 3 CPU コア数の増加... 4 仮想化支援技術の性能向上... 4 メモリモジュールの大容量化... 5 低消費電力化... 5 ストレージの大容量化と搭載可能数の増加...

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

酸化グラフェンのバンドギャップをその場で自在に制御

酸化グラフェンのバンドギャップをその場で自在に制御 同時発表 : 筑波研究学園都市記者会 ( 資料配布 ) 文部科学記者会 ( 資料配布 ) 科学記者会 ( 資料配布 ) 酸化グラフェンのバンドギャップをその場で自在に制御 - 新規炭素系材料を用いた高性能ナノスケール素子に向けて - 配布日時 : 平成 25 年 12 月 16 日 14 時解禁日時 : 平成 25 年 12 月 16 日 20 時独立行政法人物質 材料研究機構概要 1. 独立行政法人物質

More information

TC74HC14AP/AF

TC74HC14AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC14AP,TC74HC14AF Hex Schmitt Inverter TC74HC14A は シリコンゲート CMOS 技術を用いた高速 CMOS シュミットトリガインバータです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます ピン接続 機能は TC74HCU04 と同じですが すべての入力は約

More information

平成 30 年 8 月 6 日 報道機関各位 東京工業大学 東北大学 日本工業大学 高出力な全固体電池で超高速充放電を実現全固体電池の実用化に向けて大きな一歩 要点 5V 程度の高電圧を発生する全固体電池で極めて低い界面抵抗を実現 14 ma/cm 2 の高い電流密度での超高速充放電が可能に 界面形

平成 30 年 8 月 6 日 報道機関各位 東京工業大学 東北大学 日本工業大学 高出力な全固体電池で超高速充放電を実現全固体電池の実用化に向けて大きな一歩 要点 5V 程度の高電圧を発生する全固体電池で極めて低い界面抵抗を実現 14 ma/cm 2 の高い電流密度での超高速充放電が可能に 界面形 平成 30 年 8 月 6 日 報道機関各位 東京工業大学 東北大学 日本工業大学 高出力な全固体電池で超高速充放電を実現全固体電池の実用化に向けて大きな一歩 要点 5V 程度の高電圧を発生する全固体電池で極めて低い界面抵抗を実現 14 ma/cm 2 の高い電流密度での超高速充放電が可能に 界面形成直後に固体電解質から電極へのリチウムイオンが自発的に移動 概要 東京工業大学の一杉太郎教授らは 東北大学の河底秀幸助教

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード]

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード] 半導体メモリが新応用を開拓した例 集積デバイス工学半導体メモリ 2010 年 5 月 14 日東京大学大学院工学系研究科電気系工学竹内健 E-mail : takeuchi@lsi.t.u-tokyo.ac.jp http://www.lsi.t.u-tokyo.ac.jp p y jp アップル社の ipod nano 2005 年 9 月発売 フラッシュメモリの記憶容量によって価格の異なるラインアップ

More information

Microsoft PowerPoint - 集積デバイス工学2.ppt

Microsoft PowerPoint - 集積デバイス工学2.ppt チップレイアウトパターン ( 全体例 ) 集積デバイス工学 () LSI の製造プロセス VLSI センター藤野毅 MOS トランジスタの基本構造 MOS トランジスタの基本構造 絶縁膜 絶縁膜 p 型シリコン 断面図 n 型シリコン p 型シリコン 断面図 n 型シリコン 破断面 破断面 トランジスタゲート幅 W 平面図 4 トランジスタゲート長 L 平面図 MOS トランジスタ (Tr) の構造

More information

Microsoft PowerPoint - H30パワエレ-3回.pptx

Microsoft PowerPoint - H30パワエレ-3回.pptx パワーエレクトロニクス 第三回パワー半導体デバイス 平成 30 年 4 月 25 日 授業の予定 シラバスより パワーエレクトロニクス緒論 パワーエレクトロニクスにおける基礎理論 パワー半導体デバイス (2 回 ) 整流回路 (2 回 ) 整流回路の交流側特性と他励式インバータ 交流電力制御とサイクロコンバータ 直流チョッパ DC-DC コンバータと共振形コンバータ 自励式インバータ (2 回 )

More information

QOBU1011_40.pdf

QOBU1011_40.pdf 印字データ名 QOBU1 0 1 1 (1165) コメント 研究紹介 片山 作成日時 07.10.04 19:33 図 2 (a )センサー素子の外観 (b )センサー基板 色の濃い部分が Pt 形電極 幅 50μm, 間隔 50μm (c ),(d )単層ナノ チューブ薄膜の SEM 像 (c )Al O 基板上, (d )Pt 電極との境 界 熱 CVD 条件 触媒金属 Fe(0.5nm)/Al(5nm)

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o 小型スタンバイ機能付高精度正電圧レギュレータ 概要 NJU7241 シリーズは, 出力電圧精度 ±2% を実現したスタンバイ機能付の低消費電流正電圧レギュレータ IC で, 高精度基準電圧源, 誤差増幅器, 制御トランジスタ, 出力電圧設定用抵抗及び短絡保護回路等で構成されています 出力電圧は内部で固定されており, 下記バージョンがあります また, 小型パッケージに搭載され, 高出力でありながらリップル除去比が高く,

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ選択( 復習 ) MIPS の構造 PC 命令デコーダ 次 PC 計算 mux 32x32 ビットレジスタファイル

More information

処理速度1 パッケージ SSD essd(embedded-ssd) シリーズ SSD と HDD がすみ分け 連携するクラウド時代のストレージシステム デジタル化やインターネット クラウドコンピューティングなどの普及により 日々生成される情報量は加速度的に増大し 2007 年に使用可能なストレージ

処理速度1 パッケージ SSD essd(embedded-ssd) シリーズ SSD と HDD がすみ分け 連携するクラウド時代のストレージシステム デジタル化やインターネット クラウドコンピューティングなどの普及により 日々生成される情報量は加速度的に増大し 2007 年に使用可能なストレージ 1 パッケージ SSD essd (embedded-ssd) シリーズ SSD ソリューションの新たな進化ステージ 各種電子機器において HDD を代替 補完するストレージデバイスとして SSD( ソリッドステートドライブ ) の導入が急速に進んでいます SSD の性能を左右するのは搭載されるメモリコントローラ IC です TDK の新製品 1 パッケージ SSD essd シリーズ は マルチチップパッケージ技術により

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

ビッグデータやクラウドのシステム基盤向けに処理性能を強化した「BladeSymphony」および「HA8000シリーズ」の新製品を販売開始

ビッグデータやクラウドのシステム基盤向けに処理性能を強化した「BladeSymphony」および「HA8000シリーズ」の新製品を販売開始 2013 年 9 月 19 日 株式会社日立製作所 ビッグデータやクラウドのシステム基盤向けに処理性能を強化した BladeSymphony および HA8000 シリーズ の新製品を販売開始 運用管理工数の削減を実現するサーバ管理ソフトウェア Hitachi Compute Systems Manager を標準添付 BS520H サーバブレード / PCI 拡張ブレード HA8000/RS220-h

More information

TC74HC245,640AP/AF

TC74HC245,640AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

POCO 社の EDM グラファイト電極材料は 長年の技術と実績があり成形性や被加工性が良好で その構造ならびに物性の制御が比較的に容易であることから 今後ますます需要が伸びる材料です POCO 社では あらゆる工業製品に対応するため 各種の電極材料を多数用意しました EDM-1 EDM-3 EDM

POCO 社の EDM グラファイト電極材料は 長年の技術と実績があり成形性や被加工性が良好で その構造ならびに物性の制御が比較的に容易であることから 今後ますます需要が伸びる材料です POCO 社では あらゆる工業製品に対応するため 各種の電極材料を多数用意しました EDM-1 EDM-3 EDM POCO 社の EDM グラファイト電極材料は 長年の技術と実績があり成形性や被加工性が良好で その構造ならびに物性の制御が比較的に容易であることから 今後ますます需要が伸びる材料です POCO 社では あらゆる工業製品に対応するため 各種の電極材料を多数用意しました EDM-1 EDM-200 EDM-200 EDM-200 INDEX EDM グラファイトの分類 電極材料選択の主要ファクタ P2

More information

h-hwang11phdthesis-RealizingName.pptx

h-hwang11phdthesis-RealizingName.pptx 黄 大 大 大 用目 手 一 大 高 士 文 目 士 文 比 士 文 士 文 士 文 黄 山 一 田 比 用 子 黄 山 一 田 力 子 士 文 12.1.19 本章の背景および目的 提案手法の概要 高速なパケット転送 分類のために使用されている TCAM の問題点 高い消費電力 チップのコスト アクセス制御リスト (access control list; ACL) フィールドの中 ポート番号の範囲表現問題

More information

JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association ED-5001A 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and

JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association ED-5001A 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and 2.7V to 3.6V(wide range) Power supply voltage and interface

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

ムーアの法則に関するレポート

ムーアの法則に関するレポート 情報理工学実験レポート 実験テーマ名 : ムーアの法則に関する調査 職員番号 4570 氏名蚊野浩 提出日 2019 年 4 月 9 日 要約 大規模集積回路のトランジスタ数が 18 ヶ月で2 倍になる というムーアの法則を検証した その結果 Intel 社のマイクロプロセッサに関して 1971 年から 2016 年の平均で 26.4 ヶ月に2 倍 というペースであった このことからムーアの法則のペースが遅くなっていることがわかった

More information

受付番号:

受付番号: 2015 年 7 月 27 日 報道機関各位 国立大学法人東京工業大学国立大学法人東北大学 強誘電体の極薄単結晶膜を世界で初めて作製 超高密度新規メモリーで長時間使えるスマホ実現に道 東京工業大学元素戦略研究センター ( センター長細野秀雄教授 ) の清水荘雄特任助教と同センター兼総合理工学研究科の舟窪浩教授 東北大学金属材料研究所の今野豊彦教授と木口賢紀准教授らの研究グループは 極薄膜でも特性が劣化しない強誘電体エピタキシャル膜

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Slide 1

Slide 1 MSP430 : 革新的な新技術 この資料は 次世代マイコン活用セミナー (2012) から FRAM 部分を抜粋したものです Ferroelectric RAM (FRAM) 1 FRAM 次世代 MCU メモリ 不揮発性 電源が切れてもデータを保持 EEPROM の代替え品として利用可能 高速書き込み / アップデート SRAM のようなパフォーマンスアクセスタイム : ~ 50ns/ バイト

More information

TC74HC4017AP/AF

TC74HC4017AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4017AP,TC74HC4017AF Decade Counter/Divider TC74HC4017A は シリコンゲート CMOS 技術を用いた高速 10 進ジョンソンカウンタです CMOS の特長である低い消費電力で 等価な LSTTL に匹敵する高速動作を実現できます CK あるいは CE 入力に印加されたカウントパルスの数により

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

Microsoft Word - 新規Microsoft Office Word 文書.docx

Microsoft Word - 新規Microsoft Office Word 文書.docx ( ) ENTRAN ENTRAN / DRAM 2 3 () 4 (off chip) MOS Tr 5 6 / SSD / Ⅲ. 研究開発成果 3.3 研究開発項目毎の成果 2 不揮発アーキテクチャの研究開発 不揮発アーキテクチャの研究開発 実施者 : 中央大学, エルピーダメモリ (20 年度のみ ) 目的 研究開発項目 で得られたメモリを用い 現行のアーキテクチャの消費電力に対し実質上 /

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

記者発表資料

記者発表資料 2012 年 6 月 4 日 報道機関各位 東北大学流体科学研究所原子分子材料科学高等研究機構 高密度 均一量子ナノ円盤アレイ構造による高効率 量子ドット太陽電池の実現 ( シリコン量子ドット太陽電池において世界最高変換効率 12.6% を達成 ) < 概要 > 東北大学 流体科学研究所および原子分子材料科学高等研究機構 寒川教授グループはこの度 新しい鉄微粒子含有蛋白質 ( リステリアフェリティン

More information

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx データ値の局所性を利用した ライン共有キャッシュの提案 九州大学大学院 岡慶太郎 福本尚人 井上弘士 村上和彰 1 キャッシュメモリの大容量化 マルチコア プロセッサが主流 メモリウォール問題の深刻化 メモリアクセス要求増加 IOピンの制限 大容量の LL(Last Level) キャッシュを搭載 8MB の L3 キャッシュを搭載 Core i7 のチップ写真 * * http://www.atmarkit.co.jp/fsys/zunouhoudan/102zunou/corei7.html

More information

電子回路I_4.ppt

電子回路I_4.ppt 電子回路 Ⅰ 第 4 回 電子回路 Ⅰ 5 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 電界効果トランジスタ (FET) 基本構造 基本動作動作原理 静特性 電子回路 Ⅰ 5 2 半導体素子 ( ダイオードとトランジスタ ) ダイオード (2 端子素子 ) トランジスタ (3 端子素子 ) バイポーラトランジスタ (Biolar) 電界効果トランジスタ

More information

スライド 1

スライド 1 Shibaura Institute of Technology EDS Fair 2011 国際学会の技術トレンドを読み解く ~ 過去 現在 未来 ~ 低消費電力設計 芝浦工業大学工学部情報工学科 宇佐美公良 低消費電力技術の論文発表件数の推移 論文発表件数 20 18 16 14 12 10 8 6 4 2 0 2007 2008 2009 2010 2011 年 ASP-DAC DAC ICCAD

More information

TC7SET08FU_J_

TC7SET08FU_J_ CMOS デジタル集積回路 シリコンモノリシック 1. 機能 2-Input AND Gate 2. 特長 (1) AEC-Q100 (Rev. ) ( 注 1) (2) 動作温度が広い : T opr = -40125 ( 注 2) (3) 高速動作 : t pd = 4.2 ns ( 標準 ) ( CC = 5.0, C = 15 ) (4) 低消費電流 : = ( ) (T a = 25 )

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

富士通セミコンダクタープレスリリース 2013/04/22

富士通セミコンダクタープレスリリース 2013/04/22 [ プレスリリース ] 2013 年 4 月 22 日富士通セミコンダクター株式会社 低炭素社会に貢献するエナジーハーベスティング電源 IC 2 製品を新発売 ~ 電子機器やワイヤレスセンサーノードなどの電池レス化を実現 ~ 富士通セミコンダクター株式会社 ( 注 1) は エナジーハーベスティング電源 IC として 降圧型 DC/DC コンバーター ( 注 2) MB39C811 と 昇圧型 DC/DC

More information

産総研 MEMS スキルアップコース 中長期 集中型 先端集積化 MEMS の研究開発を推進している産総研 N-MEMS ファウンドリ ( ウェハ径 200/300mm) において 三次元加工技術 フォトリソグラフィー技術 極小微細加工技術等 MEMS 分野における種々の要素技術を習得する 研究開発

産総研 MEMS スキルアップコース 中長期 集中型 先端集積化 MEMS の研究開発を推進している産総研 N-MEMS ファウンドリ ( ウェハ径 200/300mm) において 三次元加工技術 フォトリソグラフィー技術 極小微細加工技術等 MEMS 分野における種々の要素技術を習得する 研究開発 産総研 Technology CAD (TCAD) 実習初級コース 中級コース 短期型 Technology CAD(TCAD) は 計算機上のシミュレーションにより 所望の機能を持つ半導体素子の構造とその作製条件の最適化を行うことができる技術です 通常 半月から数ヶ月程度かかる半導体プロセスを実行することなく 半導体素子の作製条件を計算機上で導き出すことができます 初級コースは TCAD 初心者を対象として

More information

lesson7.ppt

lesson7.ppt Lecture 7 Electrodynamical Carrier Doping: History p.7 Electrdodynamical Carrier Doping? pulse p.8 IV Hysteresis & NV Memory Crossing I-V curve I Low R Nonvolatile Switching +V pulse 0 -V pulse time -V

More information

(案)

(案) P13005 次世代スマートデバイス開発プロジェクト 基本計画 IoT 推進部 1. 研究開発の目的 目標 内容 (1) 研究開発の目的 1 政策的な重要性次世代交通社会の実現には 自動車の燃焼システムの環境対応に加え 急発進 急停止 渋滞等による非効率な燃料消費の改善及び人の飛び出しや走行中の急な割り込み等による衝突事故の削減など 一層の省エネ化と安全走行の高度化が重要である その実現には 自動車の周辺情報を集め即座に状況を把握するシステムの構築が必要となり

More information

TC74HCT245AP/AF

TC74HCT245AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Traceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

Microsoft PowerPoint TIA-nano理事長講演資料.ppt

Microsoft PowerPoint TIA-nano理事長講演資料.ppt オープンイノベーション拠点の実現 TIA-Nano の目指すもの 平成 22 年 7 月 30 日独立行政法人産業技術総合研究所理事長野間口有 1 世界ナノテク拠点と拠点形成の効果 拠点名 国名 予算規模 人員規模 MINATEC フランス 350M (2008 年 ) 4,000 名 企業等と契約 250M 公的資金 100M IMEC ベルギー 262M (2008 年 ) 1,643 名 84%

More information

研究成果報告書

研究成果報告書 様式 C-19 科学研究費補助金研究成果報告書 平成 22 年 5 月 31 日現在 研究種目 : 若手研究 (B) 研究期間 :2007 ~ 2009 課題番号 :19760229 研究課題名 ( 和文 ) 不揮発性メモリ内蔵型超低消費電力動的再構成可能マイクロプロセッサの開発研究課題名 ( 英文 ) Development of very low-power dynamically reconfigurable

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

共同開発研究の中核として 研究開発を進めている 研究内容と成果 2 種類のシリコン結晶をひとつのウエハー内に持つという SOI 構造 ( 図 1) の特長を最大限に活かした 高い放射線検出効率を持った信号処理回路一体型の微細ピクセルセンサーを実現した ( 図 2 図 3) 開発した SOI ピクセル

共同開発研究の中核として 研究開発を進めている 研究内容と成果 2 種類のシリコン結晶をひとつのウエハー内に持つという SOI 構造 ( 図 1) の特長を最大限に活かした 高い放射線検出効率を持った信号処理回路一体型の微細ピクセルセンサーを実現した ( 図 2 図 3) 開発した SOI ピクセル 報道関係者各位 平成 29 年 6 月 23 日 大学共同利用機関法人高エネルギー加速器研究機構国立大学法人筑波大学 世界最高精度の放射線測定センサーを開発 本研究成果のポイント 1)SOI 技術を使って 超微細ピクセルの放射線センサーを開発 2) 世界で初めて 1μm 以下の位置測定器精度を達成 3) 素粒子 原子核実験 更には放射光実験での活躍が期待 概要 大学共同利用機関法人高エネルギー加速器研究機構

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

ComputerArchitecture.ppt

ComputerArchitecture.ppt 1 人間とコンピュータの違い コンピュータ 複雑な科学計算や膨大な量のデータの処理, さまざまな装置の制御, 通信などを定められた手順に従って間違いなく高速に実行する 人間 誰かに命令されなくても自発的に処理したり, 条件が変化しても臨機応変に対処できる 多くの問題解決を経験することで, より高度な問題解決法を考え出す 数値では表しにくい情報の処理ができる 2 コンピュータの構成要素 構成要素 ハードウェア

More information

TC7WT126FU

TC7WT126FU 東芝 CMOS デジタル集積回路シリコンモノリシック Dual Bus Buffer は シリコンゲート CMOS 技術を用いた高速 CMOS 2 回路入り 3- ステートバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レベルですので TTL レベルのバスに直結可能です 3- ステートコントロール入力 G を L とすることにより出力

More information

新技術説明会 様式例

新技術説明会 様式例 フレキシブル太陽電池向け微結晶シリコン薄膜の低温成長 山口大学工学部電気電子工学科技術専門職員河本直哉 背景 軽量で安価なプラスチックなどポリマー基板上の微結晶 Si 建材一体型太陽電池の実現 フレキシブル ディスプレイ プラスチック上に微結晶 Si を実現することで製品の軽量化 低価格化が実現される 現在の目標 : 軟化点 250 程度のプラスチック基板での高品質微結晶 Si 形成プロセスの開発

More information

Microsoft Word - TokyoTechPR _Masu_web.doc

Microsoft Word - TokyoTechPR _Masu_web.doc 平成 27 年 2 月 20 日 報道機関各位 東京工業大学広報センター長 大谷 清 高周波無線給電型の超低電力無線機で多値変調を実現 要点 5.8GHz 帯 113μW で動作する無線送信機に 多値変調を適用 直交バックスキャッタリング回路 により 32QAM,2.5M ビット / 秒を実現 無線機は高周波無線給電技術で生成した電源により動作 概要 東京工業大学フロンティア研究機構の益一哉教授と精密工学研究所の伊藤浩之准教授

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2017 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ ジスタ( 復習 ) MIPS の構造 PC 次 PC 計算 メモリ 命令デコーダ 制御回路 選択演算選択レmux 32x32

More information

配信先 : 東北大学 宮城県政記者会 東北電力記者クラブ科学技術振興機構 文部科学記者会 科学記者会配付日時 : 平成 30 年 5 月 25 日午後 2 時 ( 日本時間 ) 解禁日時 : 平成 30 年 5 月 29 日午前 0 時 ( 日本時間 ) 報道機関各位 平成 30 年 5 月 25

配信先 : 東北大学 宮城県政記者会 東北電力記者クラブ科学技術振興機構 文部科学記者会 科学記者会配付日時 : 平成 30 年 5 月 25 日午後 2 時 ( 日本時間 ) 解禁日時 : 平成 30 年 5 月 29 日午前 0 時 ( 日本時間 ) 報道機関各位 平成 30 年 5 月 25 配信先 : 東北大学 宮城県政記者会 東北電力記者クラブ科学技術振興機構 文部科学記者会 科学記者会配付日時 : 平成 30 年 5 月 25 日午後 2 時 ( 日本時間 ) 解禁日時 : 平成 30 年 5 月 29 日午前 0 時 ( 日本時間 ) 報道機関各位 平成 30 年 5 月 25 日 東北大学材料科学高等研究所 (AIMR) 東北大学金属材料研究所科学技術振興機構 (JST) スピン流スイッチの動作原理を発見

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

Micro Fans & Blowers Innovation in Motion マイクロファン & ブロワー 有限会社シーエス技研 PTB 事業部東京オフィス 千葉県市原市辰巳台西

Micro Fans & Blowers Innovation in Motion マイクロファン & ブロワー 有限会社シーエス技研 PTB 事業部東京オフィス 千葉県市原市辰巳台西 www.pelonistechnologies.com Innovation in Motion マイクロファン & ブロワー 有限会社シーエス技研 PTB 事業部東京オフィス 290-0004 千葉県市原市辰巳台西 4-13-1-9-1 104-0041 東京都中央区新富 1-5-5-406 Tel:0436-98-2341 Fax:0436-98-2336 Tel:03-3206-6832 Fax:03-3206-6829

More information

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集 地盤環境モニタリングの広域化とコスト低減のための無線センサネットワークの実用化に関する検討 地球工学研究所地圏科学領域池川洋二郎 Email:ikegawa@criepi.denken.or.jp 1 背景と目的 背景 : 豪雨, 地震などによる斜面災害に対する維持管理や減災技術の適用による効果や機能をモニタリングにより評価することが重要である. 必要性 : モニタリングの広域化と, 低コスト化が可能な技術開発が望まれる.

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

Microsoft Word -

Microsoft Word - 電池 Fruit Cell 自然系 ( 理科 ) コース高嶋めぐみ佐藤尚子松本絵里子 Ⅰはじめに高校の化学における電池の単元は金属元素のイオン化傾向や酸化還元反応の応用として重要な単元である また 電池は日常においても様々な場面で活用されており 生徒にとっても興味を引きやすい その一方で 通常の電池の構造はブラックボックスとなっており その原理について十分な理解をさせるのが困難な教材である そこで

More information

<4D F736F F F696E74202D F C51946E91E58A DB8DE290E690B62E707074>

<4D F736F F F696E74202D F C51946E91E58A DB8DE290E690B62E707074> 相変化ランダムアクセスメモリ素子 (PRAM) の結晶化過程を用いた 多値記録素子 研究者 : 群馬大学大学院工学研究科 教授保坂純男 内容 1. 研究背景とアプローチ 2. PRAM の原理と課題 3. 低消費電力化 4. 結晶化過程の多値記録 5. 実験結果とまとめ 背景 メモリの特性 FeRAM MRAM PRAM DRAM フラッシュ 不揮発性 書き込み時間 80ns 30ns 50ns 100ms

More information

背景と経緯 現代の電子機器は電流により動作しています しかし電子の電気的性質 ( 電荷 ) の流れである電流を利用した場合 ジュール熱 ( 注 3) による巨大なエネルギー損失を避けることが原理的に不可能です このため近年は素子の発熱 高電力化が深刻な問題となり この状況を打開する新しい電子技術の開

背景と経緯 現代の電子機器は電流により動作しています しかし電子の電気的性質 ( 電荷 ) の流れである電流を利用した場合 ジュール熱 ( 注 3) による巨大なエネルギー損失を避けることが原理的に不可能です このため近年は素子の発熱 高電力化が深刻な問題となり この状況を打開する新しい電子技術の開 平成 25 年 5 月 2 日 東北大学金属材料研究所東北大学原子分子材料科学高等研究機構 塗るだけで出来上がる磁気 - 電気変換素子 - プラスチックを使った次世代省エネルギーデバイス開発に向けて大きな進展 - 発表のポイント 電気を流すプラスチックの中で 磁気 ( スピン ) の流れが電気信号に変換されることを発見 この発見により 溶液を塗るだけで磁気 ( スピン )- 電気変換素子が作製可能に

More information

sample リチウムイオン電池の 電気化学測定の基礎と測定 解析事例 右京良雄著 本書の購入は 下記 URL よりお願い致します 情報機構 sample

sample リチウムイオン電池の 電気化学測定の基礎と測定 解析事例 右京良雄著 本書の購入は 下記 URL よりお願い致します   情報機構 sample sample リチウムイオン電池の 電気化学測定の基礎と測定 解析事例 右京良雄著 本書の購入は 下記 URL よりお願い致します http://www.johokiko.co.jp/ebook/bc140202.php 情報機構 sample はじめに リチウムイオン電池は エネルギー密度や出力密度が大きいことなどから ノートパソコンや携帯電話などの電源として あるいは HV や EV などの自動車用動力源として用いられるようになってきている

More information

TC7SHU04FU_J_

TC7SHU04FU_J_ CMOS デジタル集積回路 シリコンモノリシック 1. 機能 Inverter (Unbuffer) 2. 特長 (1) AEC-Q100 (Rev. H) ( 注 1) (2) 動作温度が広い : T opr = -40125 ( 注 2) (3) 高速動作 : t pd = 3.5 ns ( 標準 ) ( CC = 5.0, C L = ) (4) 低消費電流 : = ( ) (T a = 25

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft Word - 01.doc

Microsoft Word - 01.doc 科学技術振興機構 (JST) 理 化 学 研 究 所 京 都 大 学 有機薄膜太陽電池で飛躍的なエネルギー変換効率の向上が可能に ~ 新材料開発で光エネルギー損失低減に成功 ~ ポイント 塗布型有機薄膜太陽電池 ( 塗布型 OPV) の実用化には変換効率の向上が課題となっている 新しい半導体ポリマーの開発により 塗布型 OPV の光エネルギー損失が無機太陽電池並みまで低減に成功した 塗布型 OPV

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

Microsoft PowerPoint - acr_rod_ b.ppt [互換モード]

Microsoft PowerPoint - acr_rod_ b.ppt [互換モード] 必要な時だけ目覚める無線通信ネットワーク技術 ~ 無駄な消費電力を削減します ~ 家庭やオフィスにある多くの無線 LAN アクセスポイント等の無線通信機器は 使わない時も つけっぱなし になっており 無駄に電力を消費しています 使わない時に スリープ させておくことができれば 消費電力を大幅に抑えることができます ATR では ユーザが使いたい時に即座に起動できるオンデマンド起動型無線 LAN アクセスポイント用のウェイクアップ機を開発しています

More information

<4D F736F F F696E74202D AC89CA95F18D9089EF975C8D658F F43945A A CC8A4A94AD298F4390B394C5205B8CDD8AB B83685D>

<4D F736F F F696E74202D AC89CA95F18D9089EF975C8D658F F43945A A CC8A4A94AD298F4390B394C5205B8CDD8AB B83685D> 小型 低消費電力を実現するグリーン MEMS センサの開発 センサネットワーク用 VOC( 揮発性有機化合物 ) 濃度センサの開発 オリンパス株式会社白石直規 発表内容 OUTLINE 1. 背景と目的 2. 開発項目と目標 3. 開発の成果 4. ネットワーク 応用分野 5. まとめ 1. 背景と目的 VOCとは VOC(volatile organic compounds 揮発性有機化合物) とは

More information

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル AlGaN/GaN HFET 電流コラプスおよびサイドゲート効果に関する研究 徳島大学大学院先端技術科学教育部システム創生工学専攻電気電子創生工学コース大野 敖研究室木尾勇介 1 AlGaN/GaN HFET 研究背景 高絶縁破壊電界 高周波 高出力デバイス 基地局などで実用化 通信機器の発達 スマートフォン タブレットなど LTE LTE エンベロープトラッキング 低消費電力化 電源電圧を信号に応じて変更

More information

Cover Story 特集 巨大市場が見えてきた新型メモリー PRAM(phase change RAM) や MRAM(magnetoresistive RAM) で巨大市場を獲る こうしたシナリオが現実味を帯びてきた メモリー大手各社が, 製品ロードマップにこれらの新型不揮発性メモリーを含める

Cover Story 特集 巨大市場が見えてきた新型メモリー PRAM(phase change RAM) や MRAM(magnetoresistive RAM) で巨大市場を獲る こうしたシナリオが現実味を帯びてきた メモリー大手各社が, 製品ロードマップにこれらの新型不揮発性メモリーを含める 巨大市場が見えてきた新型メモリー PRAM(phase change RAM) や MRAM(magnetoresistive RAM) で巨大市場を獲る こうしたシナリオが現実味を帯びてきた メモリー大手各社が, 製品ロードマップにこれらの新型不揮発性メモリーを含めるようになり,2007 2010 年の市場投入に向けて動き始めている 各社が新型不揮発性メモリーで狙うのは, 携帯電話機, 家電, パソコン,

More information

TC7SET125FU_J_

TC7SET125FU_J_ CMOS デジタル集積回路 シリコンモノリシック 1. 機能 Bus Buffer 2. 特長 (1) AEC-Q100 (Rev. H) ( 注 1) (2) 動作温度が広い : T opr = -40125 ( 注 2) (3) 高速動作 : t pd = 3.7 ( 標準 ) ( CC = 5.0, C = pf) (4) 低消費電流 : = ( ) (T a = 25 ) (5) TT レベル入力

More information

世界最高面密度の量子ドットの自己形成に成功

世界最高面密度の量子ドットの自己形成に成功 同時発表 : 筑波研究学園都市記者会 ( 資料配布 ) 文部科学記者会 ( 資料配布 ) 科学記者会 ( 資料配布 ) 世界最高面密度の量子ドットの自己形成に成功 - 高性能量子ドットデバイス実現に向けた研究がさらに加速 - 平成 24 年 6 月 4 日 独立行政法人物質 材料研究機構 概要 : 独立行政法人物質 材料研究機構 ( 理事長 : 潮田資勝 ) 先端フォトニクス材料ユニット ( ユニット長

More information

1. 概要有機半導体は 現在 主に用いられているシリコンなどの無機半導体と比べて以下の特長があり 次世代トランジスタなどエレクトロニクス素子への応用開発研究が盛んに行われています 1 塗布法 印刷法といった簡便かつ比較的低温での作製が容易 2 薄型 3 低コスト 4 プラスティック RFID タグや

1. 概要有機半導体は 現在 主に用いられているシリコンなどの無機半導体と比べて以下の特長があり 次世代トランジスタなどエレクトロニクス素子への応用開発研究が盛んに行われています 1 塗布法 印刷法といった簡便かつ比較的低温での作製が容易 2 薄型 3 低コスト 4 プラスティック RFID タグや 国立研究開発法人新エネルギー 産業技術総合開発機構国立大学法人東京大学新領域創成科学研究科地方独立行政法人大阪府立産業技術総合研究所トッパン フォームズ株式会社富士フイルム株式会社株式会社デンソー JNC 株式会社田中貴金属工業株式会社日本エレクトロプレイティング エンジニヤース株式会社パイクリスタル株式会社 2016.01.25 世界初 商用 IC カード規格で動く有機半導体デジタル回路を実現 物流管理やヘルスケア向け温度センサつき電子タグの商品化に前進

More information

cmpsys14w04_mem_hp.ppt

cmpsys14w04_mem_hp.ppt 情報システム論 第 4 週! ハードウェアシステム! ( 主記憶装置 )! 根来 均 内容 n 単位の復習! n 記憶装置の階層構造! n 各階層での各役割! n 半導体メモリの分類とトランジスタの構造! n SRAM と DRAM の構造と種類! n メモリに関する技術他 単位の接頭語 10 18 エクサ exa- E 15 ペタ peta- P 12 テラ tera- T 9 ギガ giga-

More information

EC-1 アプリケーションノート 高温動作に関する注意事項

EC-1 アプリケーションノート 高温動作に関する注意事項 要旨 アプリケーションノート EC-1 R01AN3398JJ0100 Rev.1.00 要旨 EC-1 の動作温度範囲は Tj = -40 ~ 125 としており これらは記載の動作温度範囲内での動作を保証す るものです 但し 半導体デバイスの品質 信頼性は 使用環境に大きく左右されます すなわち 同じ品質の製品でも使用環境が厳しくなると信頼性が低下し 使用環境が緩くなると信頼性が向上します たとえ最大定格内であっても

More information