Microsoft PowerPoint - 光ネットワーク産業_ pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - 光ネットワーク産業_ pptx"

Transcription

1 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科

2 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について

3 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると, 多値化数を上げて伝送レートを向上させるしかない 多値通信の場合 ADC の場合 シャノンの定理 C BW log 2 1 ADC の BW と SNR BW f s 2 したがって C Nf s P P S N P S 2 N P N D rate D ADC 2N f s : 標本化周波数 N: 分解能 N rate f s BER BW 多値変調の実現には高い SNR が必要 ) N: 多値化数 BW: 信号帯域 N: 多値化数 f s : 変換周波数 QPSK 16QAM 64QAM 256QAM SNR (db)

4 通信 記録システムの発展 通信 記録システムはデバイスの高速化 広帯域化が進んだ後多値化に向かい,ADC+ デジタル信号処理技術が必要となる データレート N BW 多値化数 信号帯域 16QAM 3 データレート ( 対数 ) ASK, BPSK 1bit/symbol 広帯域化 デバイスの高速化 ( 化合物半導体 ) QPSK 2bit/symbol 帯域が制限 ( 限界 ) アナログイコライズ ( 化合物半導体 ) 4bit/symbol デジタル信号処理 CMOS 集積回路 ADC,DAC ( アナログ デジタル混載 ) P D rate BW log 2 1 P S N 年

5 記録システムでの開発例 4 DVD の信号処理に ADC を含むアナログフロントエンドとデジタル信号処理の導入により DVD の読み取り性能を飛躍的に向上させた デジタル多値記録

6 アナログ デジタル混載 SoC 5 高性能アナログ回路や ADC を含む DVD の全機能を世界で初めてワンチップに集積 アナログ デジタル混載 SoC 時代の幕開けとなった アナログ デジタル混載 SoC 技術により高性能化と低コスト化を同時に実現できる Okamoto, Matsuzawa, et al., ISSCC 2003 この SoC シリーズは年間 1 億個の生産量に達する 0.13um CMOS

7 微細化の進展 6 現在 28nm CMOS が量産中,7nm 程度までは計画されている JEITA, IC Guide Book 2 より

8 性能の推移 7 トランジスタ数は最大数 10 億トランジスタで今後も増加する クロック周波数は数 GHz で飽和コア数を増やすことで高速処理の方向 ISSCC 2013 より

9 CMOS デバイスの大きな革新 8 従来のバルク MOSFET から立体構造を用いた FinFET に大きく構造転換リーク電流が大きく低減, もしくは低電圧でも高速動作が可能になった 原図はインテル発表のもの STARC アドバンスト講座低消費電力化技術セミナー ( 東大 : 平本教授 ) より

10 ゲート遅延時間と消費電力推移 9 FinFETなどのデバイスの革新により今後も消費電力は低減可能と予測遅延時間はそれほどの改善は期待できないかもしれないゲート遅延時間消費電力 STARC アドバンスト講座低消費電力化技術セミナー ( 東大 : 平本教授 ) より

11 CMOS の微細化と RF 回路性能 10 RF 回路の基本性能 ( 利得, ノイズ ) は最終的にはデバイスの f T,f max で決まる微細化により CMOS の f T, f max は今後も向上する f T 1 L f max gate GaAs G CMOS InP max f c f NF<4dB at 60GHz は CMOS で達成している max c NF g R R min f T f f T CMOS GaAs InP m g s Bulk CMOS Ultra-Thin-Body Fully-Depleted (UTB FD) SOI Multi-Gate MOSFETs ITRS RFAMS 2011.

12 60GHz CMOS トランシーバ LSI 11 RF チップとベースバンドチップの VGA, ADC, DAC 回路を開発 K. Okada and A. Matsuzawa, et al., ISSCC nm CMOS 40nm CMOS

13 アンテナ内蔵パッケージに実装されたチップ 12 Rx I/Q output (Rx) 6-dBi antenna Tx DC supply I/Q input (Tx) [3] R. Suga, et al., EuMC mm x 14.4mm

14 チップ性能測定系 13 BB chip RF chip with 6dBi antenna [3] BB chip BB board Control (FPGA) Power supply BB PHY RF board I/Q Tx mode RF board I/Q Absorber Rx mode RF board I/Q RF board I/Q BB board Power supply BB PHY Control (FPGA) Control signals Control signals Laptop PC Laptop PC

15 世界最高のデータレートを目指して 14 16QAM の実現により世界最高のデータレート (16Gbps) を実現

16 60GHz フロントエンド性能比較 15 Data rate [Gb/s] NEC 世界最高速のデータレートを達成 direct-conversion other arch. Univ. of Toronto UCB OOK Tokyo Tech QPSK+16QAM FSK OOK Year 全発振器内蔵 SiBeam, CEA-LETI 16QAM IMEC Toshiba

17 必要な直交発振器の位相ノイズ 16 以下の位相ノイズが必要それまでの60GHz 帯直交発振器は 程度 Required CNR [db] K. Scheir, et al., ISSCC, pp ,Feb QAM 8PSK AM-AM of PA QPSK Phase noise 1MHz offset

18 注入同期の原理 17 注入同期により高い周波数の発振器の位相を, より低い発振器で制御することができる Output t INJ P INJ N t Injection parallel injection 注入信号に位相が同期することで周波数が変化 位相雑音 ( ジッタ ) は注入信号に依存 周期が短くなる分 相対的にジッタが大きく見える 逓倍器の位相雑音 ロックレンジ PN ω L ILO ω Q o I I PN inj OSC INJ 1 1 I I 20 log( N ) 2 inj 2 OSC N=3 のとき 9.5dB N: 逓倍数

19 低位相ノイズ直交 VCO 18 60GHz の直交 VCO に 20GHz の PLL でインジェクションロックをかけることで の良好な低位相ノイズを実現 ダイレクトコンバージョンや 16QAM が可能となった In VDD Qn 20GHz matching block INJn INJp それまでの 60GHz 直交 VCO の位相ノイズは 程度 Ip Qp A. Musa, K. Okada, A. Matsuzawa, et al., in A- SSCC Dig. Tech. Papers, pp , Nov

20 インジェクションロックを用いた 150GHz 分周器 19 NMOS 3 段のインバータ発振器に,150MHzの信号をインジェクションすることで 50GHzの信号を出力し,3 分周を実現 Technology Operating frequency Phase noise Power dissipation BiasT 40nm 1P8M CMOS 133.3GHz 151.3GHz offset DD =1.6V Circuit size m 2 パルスの分周 発振周波数の制御 Free-running Locked V inj VDD P OUT X 1 X 2 X 3 M. Fujishima, et al., SSDM 2012

21 400GHz を超える CMOS 発振器 20 高調波を使用できるので f max を超える発振が可能である 410 GHz 486 GHz E. Seok, et al., ISSCC O. Momeni, et al., JSSC nm CMOS Push-push Oscillator 205GHz oscillation with 410GHz harmonic output. 1.5V 65nm CMOS 486GHz using Triple-Push oscillation -7.9dBm from 61mW Pd.

22 ADC 性能とビット誤り率 64QAM で十分低いビット誤り率を達成するためには有効分解能の高い ADC が不可欠 21 BER 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 1.E-09 1.E-10 1.E-11 1.E-12 1.E-13 1.E-14 当研究室で開発した C/N vs 64QAM_BER on B-B pair ADC ENOB= ENOB= ENOB= C/N [db] BW=260MHz ENOB: ADC の有効分解能 64QAM 信号

23 ADC のジッタの影響 GHz もの広帯域信号ではサンプリングジッタの影響が顕著, 16QAM の達成には 0.1ps 以下のジッタが不可欠 T j =1ps ISSCC, VLSI T j : タイミングジッタ (σ) SNDR [db] T j =0.1ps 必要帯域 64QAM 40 16QAM 30 QPSK BW [MHz] 1GHz 10GHz 100GHz

24 PLL の消費電力とジッタ 23 通常の LC VCO を用いた PLL のジッタは 0.2ps~0.8ps で消費電力は数 10mW 最近, サブサンプリング技術を用いた 0.15ps で 10mW 程度の PLL が開発された X. Gao, B. Nauta, et al., JSC. Vol.44. No. 12, pp , Dec

25 まとめ 24 通信 記録システムの方向 最初は広帯域化, 次に多値化でデータレートを向上 多値化ではADCとイコライザー技術が重要 CMOSによる超高速信号処理回路が不可欠 集積回路の最近の進展 FINFETの実用化により, リーク電流低減, 速度改善が図られた 7nmまでのロードマップが引かれる 速度は飽和するが, 集積度は向上並列化で実効処理速度を上げていく方向 CMOS の高周波化 CMOSは化合物に伍して周波数特性が向上 480GHzの発振器も実現 60GHz CMOSトランシーバLSIを開発 16Gbpsの超高速無線通信を低電力で実現 ADC の超高速化 超高速化 多値化への対応にはタイミングジッタの抑制が重要 16QAMは実現可能であろうが,64QAMは極めて困難

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx 60GHz CMOS トランシーバーの開発 -- 無線を用いた超高速データ伝送の実現 -- 2013/1/23 松澤昭 東京工業大学大学院理工学研究科 内容 1 60GHz CMOS トランシーバの概要 60GHz CMOS RF 回路設計のポイント 超高速 低電力 ADC 2 60GHz CMOS トランシーバの概要 利用モデル 3 ギガビット機器間データ伝送の実現瞬時のデータトランスファーを狙い,

More information

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx アナログ技術の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 1 TV, VTRのデジタル化とアナログ技術 今日のアナログ技術の開発 松澤 岡田研究室の紹介 60GHz CMOSトランシーバの開発 ADC, アナログ回路開発の今後 今後の発展に必要なもの まとめ 2 これまでの40 年間日本の民生機器メーカが行ってきたことは電子機器のデジタル化, 小型化 デジタル化に伴い,ADCなどのアナログ技術も発展

More information

Tokyo Tech Template

Tokyo Tech Template 電子システム構築のための LSI 設計とアナログ技術 松澤昭 2014.01.30 東京工業大学大学院理工学研究科 Lab. Tokyo Institute & of Okada Technology Lab. 要旨 1 これまで日本の電子機器メーカが行ってきたことはデジタル化, 小型化 集積回路技術の向上でこれを達成 デジタル化が終了, 他分野の電子化などの発展ストーリが必要 アナログ (SoC)

More information

スライド 1

スライド 1 ミリ波帯における注入同期を用いた I/Q ミスマッチ補償手法 近藤智史, 河合誠太郎, 岡田健一, 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤 岡田研究室 発表内容 2 研究背景 目標 従来技術と課題 注入同期を用いた補償手法 理論検討 測定結果 結論 研究背景 3 Australia Canada, USA Japan Europe 57 Frequency [GHz] 66 59.4

More information

Microsoft PowerPoint - システムWS_matsu_140525_.pptx

Microsoft PowerPoint - システムWS_matsu_140525_.pptx アナログ RF 回路設計技術 の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 内容 RF 回路 ミリ波を用いた超高速データ伝送への挑戦 8Gbpsを達成した60GHz CMOSトランシーバ 300Gbpsを目指して ADC 性能推移 スケーラブルbit SAR ADC 時間領域処理を用いた7bit.GHz ADC PLL レイアウトドリブン設計とプログラマブルアナログ回路技術 新たな電気系の教育

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48 081503014 成果発表会資料 Oct 4, 2011 1 次世代超微細 CMOS プロセスに適した高マイクロ波帯デジタル RF 回路技術の研究開発 (081503014) このたびの東日本大震災により被災された皆様方に心よりお見舞い申し上げますとともに 皆さまの安全と一日も早い復旧を心よりお祈り申し上げます 研究代表者益一哉 Kazuya Masu 東京工業大学ソリューション研究機構 Solutions

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2 The World Leader in High Performance Signal Processing Solutions FPGA 時代の高速データ コンバータのクロッキング アナログ デバイセズ株式会社 アナログ デバイセズ株式会社石井聡 アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

株式会社xx御中

株式会社xx御中 SAW 共振子による低位相雑音発振器 と次世代携帯電話への応用 電子情報通信学会春季総合大会於名城大学 アール エフ アーキテクチャ株式会社 森榮真一 2017 年 3 月 26 日 サマリー : 次世代携帯電話向けローカル発振器の提案と検証 次世代携帯電話通信においては 更なる高速 大容量化が期待されている 今後 携帯電回線高速化の実現のために 利用電波帯域は数十 GHz 帯への移行が予測される

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

スライド タイトルなし

スライド タイトルなし (LNA) (LNA) (PA) ASK FSK PSK BER Bit Error Rate/ratio QPSK GMSK QAM OFDM ASK FSK PSK ASK(Amplitude-shift keying) e( t) = S( t)cos( ω t + θ ) c AM S(t) [+1,0] [+1/2, 1/2] 1 1 2 S(t) 0 1 2 e(t) C O B A E

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

スライド 1

スライド 1 先端アナログ デジタル混載 CMOS 集積回路技術と システムへの応用 東京工業大学大学院理工学研究科 松澤 岡田研究室 宮原正也 Tokyo Institute & of Okada Technology Lab. 発表内容 1 1. 自己紹介 2. 研究歴 3. システム応用アナログ回路開発事例 ミリ波無線通信用高速データコンバータの研究 ヘルスケアシステム用アナログ回路技術の研究 粒子検出器ピクセル読み出し集積回路

More information

Microsoft Word - pressrelease _okada.doc

Microsoft Word - pressrelease _okada.doc 平成 25 年 2 月 15 日 東京工業大学広報センター長 大谷清 二重ループ構造により注入同期現象を安定化 - 小型 低ジッタ 低消費電力のクロック生成を実現 - 概要 東京工業大学大学院理工学研究科の松澤昭教授と岡田健一准教授らの研究グループは ( 用語集積回路中での注入同期現象 1) の安定化に成功した 注入同期現象をクロック生成に応用すれば 低消費電力で高純度なクロックを生成できることが知られていたが

More information

スライド 1

スライド 1 CMOS RF 回路 岡田健一 東京工業大学 本日のお題 2 RF 回路研究の動向 ミリ波 マルチバンド 低電圧 無線スケーリング 3 Capacity [Mbps] 10 6 10 5 10 4 10 3 10 2 10 1 有線データレート ( バックボーン光ネットワーク ) 160λ OC-192 32λ 2λ 4λ8λ WDM OC-48 OC-24 OC-12 OC-3 無線データレート

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Microsoft PowerPoint - バスゼミ_ ppt [互換モード]

Microsoft PowerPoint - バスゼミ_ ppt [互換モード] 電気 電子システムと複素数 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 4/4/3 4/4/3 講演の狙い 電気電子工学や制御工学では 複素数 がやたら多く出てくる 複素数を使うと, 複雑なことが簡単になるのだが, 虚数 という一見存在しないような数を使うので, 最初はとまどってしまう そこで, なぜ電気電子工学では複素数を使うのか, どんな意味があるかについて説明したい 今後学習を進めるための参考にしてほしい

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

Microsoft PowerPoint - IEICE_matsu_ pptx

Microsoft PowerPoint - IEICE_matsu_ pptx アナログ RF CMOS 集積回路技術の 現状と今後の動向 -- ADC などのベースバンド回路を中心に -- 松澤昭 東京工業大学大学院理工学研究科 内容 60GHz ミリ波通信用 ADC 補間パイプライン型 ADCの提案と開発 ビット SAR ADCの開発 SAR ADCの開発課題 アナログ ADC 開発の今後 60GHz ミリ波通信用 ADC 従来のミリ波システム 3 006 年には GaAs

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術 平成 27 年度電子回路研究会高知市文化プラザかるぽーと Signal-Suppression Feed Forward ( 信号抑制フィードフォワード ) を用いた広帯域 LNA の低消費電力ノイズキャンセル技術 興大樹, 河内智, 李从兵, 神山雅貴, 高橋伸夫 ( 群馬大学 ) 馬場清一 ( 豊橋技術科学大学 ), 壇徹 ( オン セミコンダクター ) 小林春夫 ( 群馬大学 ) Gunma-univ.

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information

Microsoft Word - TokyoTechPR _Masu_web.doc

Microsoft Word - TokyoTechPR _Masu_web.doc 平成 27 年 2 月 20 日 報道機関各位 東京工業大学広報センター長 大谷 清 高周波無線給電型の超低電力無線機で多値変調を実現 要点 5.8GHz 帯 113μW で動作する無線送信機に 多値変調を適用 直交バックスキャッタリング回路 により 32QAM,2.5M ビット / 秒を実現 無線機は高周波無線給電技術で生成した電源により動作 概要 東京工業大学フロンティア研究機構の益一哉教授と精密工学研究所の伊藤浩之准教授

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

uPC2745TB,uPC2746TB DS

uPC2745TB,uPC2746TB DS Bipolar Analog Integrated Circuits 3 V IC µpc275tb, µpc27tb IC3 V V NESAT ft = 2 GHz IC VCC = 2.73.3 V VCC = 3.3 V µpc275tbfu = 2.7 GHz TYP. @3 db µpc27tbfu = 1.5 GHz TYP. @3 db µpc275tbisl = 38 db TYP.

More information

IEEE ZigBee 2.4GHz 250kbps O-QPSK DSSS Bluetooth IEEE GHz 3Mbps G-FSK FHSS PC LAN IEEE b 2.4GHz 11Mbps CCK DSSS LAN LAN IEE

IEEE ZigBee 2.4GHz 250kbps O-QPSK DSSS Bluetooth IEEE GHz 3Mbps G-FSK FHSS PC LAN IEEE b 2.4GHz 11Mbps CCK DSSS LAN LAN IEE SMK SMK Corporation Kenji OTSUKA AV AV RF 2.4GHz ISM 2.4GHz ISM 2.4GHz RF IEEE 802.15.4 ZigBee 2.4GHz 250kbps O-QPSK DSSS Bluetooth IEEE 802.15.1 2.4GHz 3Mbps G-FSK FHSS PC LAN IEEE 802.11b 2.4GHz 11Mbps

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc)

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc) ディジタル PLL 理論と実践 有限会社 SP システム 目次 - 目次 1. はじめに...3 2. アナログ PLL...4 2.1 PLL の系...4 2.1.1 位相比較器...4 2.1.2 ループフィルタ...4 2.1.3 電圧制御発振器 (VCO)...4 2.1.4 分周器...5 2.2 ループフィルタ抜きの PLL 伝達関数...5 2.3 ループフィルタ...6 2.3.1

More information

LT 高信号レベル・アップコンバーティング・ミキサ

LT 高信号レベル・アップコンバーティング・ミキサ LT 高信号レベルアップコンバーティング ミキサ 特長 MHz RF RF IF IP 7dBm 9MHz dbm IF db RF LO dbm LO 二重平衡ミキサ イネーブル機能.V~.Vの単一電源電圧範囲 露出パッド付き ピン TSSOPパッケージ アプリケーション CATV ダウンリンク インフラストラクチャ ワイヤレス インフラストラクチャ 高直線性ミキサ アプリケーション 概要 LT

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

2006 年 11 月 6 日 新製品 パナソニックエレクトロニックデバイス株式会社業界初 ( 1) 4ダイバーシティ受信対応で地上デジタルテレビ放送を高感度受信 車載用 4 ダイバーシティ地上デジタルフロントエンド を製品化 セットの小型化 高性能化 省電力化に貢献パナソニックエレクトロニックデバ

2006 年 11 月 6 日 新製品 パナソニックエレクトロニックデバイス株式会社業界初 ( 1) 4ダイバーシティ受信対応で地上デジタルテレビ放送を高感度受信 車載用 4 ダイバーシティ地上デジタルフロントエンド を製品化 セットの小型化 高性能化 省電力化に貢献パナソニックエレクトロニックデバ 2006 年 11 月 6 日 新製品 パナソニックエレクトロニックデバイス株式会社業界初 ( 1) 4ダイバーシティ受信対応で地上デジタルテレビ放送を高感度受信 車載用 4 ダイバーシティ地上デジタルフロントエンド を製品化 セットの小型化 高性能化 省電力化に貢献パナソニックエレクトロニックデバイス株式会社 [ 社長 : 北代耿士 ] は 業界初の4ダイバーシティ受信 [1] 対応で 小形 高感度受信

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い & 高い柔軟性と使いやすさを実現する包括的製品ポートフォリオ tij.co.jp/clocks 2013 アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使いやすく

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

Microsoft PowerPoint - 受信機.ppt[読み取り専用]

Microsoft PowerPoint - 受信機.ppt[読み取り専用] 受信機 1. 直線受信機 2. スーパヘテロダイン受信機 受信機 1.AM 受信機 DSB 受信機 SSB 受信機 2.FM 受信機 高周波増幅器 アンテナで受信した希望周波数 f s を増幅する 周波数変換回路 混合器と局部発振器からなり 高周波増幅された信号を中間周波数に変換する 局部発振器 スーパヘテロダイン受信機の局部発信周波数は受信周波数より中間周波数だけ高く ( 低く ) 設定する 混合器

More information

電圧制御発振器 ( VCO) について小川謙次電気工学科に入学以来半世紀を経過し これまでアナログ デジタル ファームウェア等 種々の電子回路の開発に携わり そろそろ引退を考える年齢になりました これを機会に じんろく会の HP を見てくれるかもしれないアナログ技術者の方に VCO の設計方法を実践

電圧制御発振器 ( VCO) について小川謙次電気工学科に入学以来半世紀を経過し これまでアナログ デジタル ファームウェア等 種々の電子回路の開発に携わり そろそろ引退を考える年齢になりました これを機会に じんろく会の HP を見てくれるかもしれないアナログ技術者の方に VCO の設計方法を実践 電圧制御発振器 ( VCO) について小川謙次電気工学科に入学以来半世紀を経過し これまでアナログ デジタル ファームウェア等 種々の電子回路の開発に携わり そろそろ引退を考える年齢になりました これを機会に じんろく会の HP を見てくれるかもしれないアナログ技術者の方に VCO の設計方法を実践的に 分かりやすく記述したいと思い 本原稿を投稿しました 近年 VCO も集積回路化され PLL と同一パッケージに組み込まれるのもが多く見られ

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

Microsoft PowerPoint - Presentation.ppt

Microsoft PowerPoint - Presentation.ppt サンプリングミキサを用いたワンセグ放送用 uner フロントエンドの研究 A sudy on he fron end for he uner using sampling mixer in ISDB-T 笹井重徳 小島貴志 馬上崇 李寧 倉科隆 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤研究室 目次 研究背景 サンプリングミキサワンセグ放送 サンプリングミキサ 結論 提案回路の特徴パラメータの決定

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

日置技報-AC/DCカレントセンサ CT6904/CT

日置技報-AC/DCカレントセンサ CT6904/CT 依田元 * 要 旨 は,5 A と 8 A 定格の大電流測定に対応し, MHz (±3 db) の広い測定周波数帯域を実現した高精度電流センサである. スイッチング周波数の高周波化に伴うノイズ環境下での電流測定を考慮し, 新規開発した巻線構造とシールド構造により, 広帯域, かつ, 高い耐ノイズ性を実現できた. ここに製品の概要, 特長, 構成, および特性データについて解説する.. はじめに 自動車,

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

LM837 Low Noise Quad Operational Amplifier (jp)

LM837 Low Noise Quad Operational Amplifier (jp) Low Noise Quad Operational Amplifier Literature Number: JAJSBB7 600 Low Noise Quad Operational Amplifier 2000 8 Converted to nat2000 DTD ds009047tl/h/9047 33020 19860602 10 V/ s ( ); 8 V/ s ( ) 25 MHz

More information

技術協会STD紹介

技術協会STD紹介 JCTEA STD-018-1.0 FTTH 型ケーブルテレビシステム 光ネットワークの性能 ( 2007 年 10 月策定 ) 第 1 章 一般事項 1 第 2 章 システムの構成 4 2.1 FTTH 型ケーブルテレビシステムの構成例 4 2.2 FTTH 型ケーブルテレビシステムの構成要素 5 第 3 章 伝送信号の種類 6 第 4 章 電気信号に係る性能規定 7 4.1 ヘッドエンドの入力端子における入力信号

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt 超高速 低電力 ADC 松澤昭宮原正也 東京工業大学 28.96 A. 内容 2 はじめに 6bit 超高速 ADCの動向 8bit 以上の超高速 ADCの動向 まとめ 28.96 A. ADC 応用の例 :DVD システム 3 DVD DVDでは再生された信号をAD 変換して イコライザーや誤り訂正をデジタル技術で行うことで信号品質を上げる ワイアレスシステムも基本的には同じ波形等価誤り訂正 Variable

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

untitled

untitled 16 2 27 0044095 1 1 1 3 FPGA 2 IF 3 IF BER BER i 1 1 2 7 2.1...................... 7 2.1.1 A/D,D/A............................ 9 2.1.2 CPU............................... 10 2.2............................

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

Microsoft PowerPoint - machida0206

Microsoft PowerPoint - machida0206 広帯域制御のためのフォトメカニカルアクチュエータの開発とその応用 東京大学新領域創成科学研究科物質系専攻三尾研究室 M2 町田幸介 重力波研究交流会 (2009 2/6) 1 発表の流れ 実験の背景 広帯域制御のためのアクチュエータ 実験の目的 実験 電磁アクチュエータの作製 電磁アクチュエータの評価 電磁アクチュエータの応用 ( 位相雑音補償と共振器長制御 ) まとめ 2 広帯域制御のためのアクチュエータ

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 3 章通信の概念 システムLSI 設計 2018 10/19 石黒 1 of 48 内容 変調方式 アナログ変調 デジタル変調 ( スペクトルリグロース ) 移動体 RF 通信 多元接続方式 時分割 (TDD) および周波数分割 (FDD) デュプレクス 周波数多元接続 (FDMA) 時分割多元接続 (TDMA) 符号分割多元接続 (CDMA) 各種無線規格 2 of 48 iphone Xs

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

(Microsoft PowerPoint - \203Z\203~\203i03\203V\203X\203e\203\200\220\335\214v.ppt)

(Microsoft PowerPoint - \203Z\203~\203i03\203V\203X\203e\203\200\220\335\214v.ppt) 集積化無線送受信機の設計技術 最新無線技術 ~ システム設計 ~ 007 年 9 月 14 日 横浜市教育会館 オフィスウワノ上野伴希 ( 工学博士 ) http://www.hi-ho.ne.jp/uwano/download/ksemi007-03.pdf 講義内容 1. システム設計とは. 受信感度 3. 非線形歪み (3 次 ) 4. フェーズノイズ 5. ダイレクトコンバージョン受信機 (DCR)

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究 CMOS RF 回路 ( アーキテクチャ ) と サンプリング回路の研究 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 974516 滝上征弥 指導教官小林春夫教授 発表内容 1.CMOS RF 回路 (a) 復調部アーキテクチャ (b) VCO 回路 ( 発振器 ) 2. サンプリング回路 (a) オシロスコープ トリガ回路 (b) CMOS コンパレータ回路 目的 無線通信システムの

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

S1F77330 シリーズテクニカルマニュアル Rev.2.1

S1F77330 シリーズテクニカルマニュアル Rev.2.1 シリーズテクニカルマニュアル Rev.2.1 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

<4D F736F F F696E74202D2091E F12D96B390FC92CA904D82D682CC899E97702E707074>

<4D F736F F F696E74202D2091E F12D96B390FC92CA904D82D682CC899E97702E707074> 電磁波工学 第 11 回無線通信システムと回線設計 ( 固定局通信 移動体通信 衛星通信 ) 講義ノート 柴田幸司 無線通信と回線設計 無線機器の信号 ( 電磁波 ) を空間に放射し 情報 ( デジタル アナログ ) を伝送する手法 --- 通信方式 ( 送受信点による分類 )--- 固定通信 マイクロ波 中継回線 (4,5,6G), 携帯電話のエントランス回線 (TV ラジオ放送) 移動体通信 携帯電話

More information

SICE東北支部研究集会資料(2009年)

SICE東北支部研究集会資料(2009年) 計測自動制御学会東北支部第 5 回研究集会 (9.7.5) 資料番号 5- FPGA を用いたステッピングモータの制御に関する検討 Control of a Stepping Motor using FPGA 萩原正基 *, 秋山宜万 *, 松尾健史 *, 三浦武 *, 谷口敏幸 * Masaki Hagiwara*, oshikazu Akiyama*, Kenshi Matsuo*, Takeshi

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

uPC2711TB,uPC2712TB DS

uPC2711TB,uPC2712TB  DS 5 VIC Bipolar Analog Integrated Circuits µpc2711tb, µpc2712tbbsic 20122915 µpc2711tb, µpc2712tb µpc2711t, µpc2712t NESAT TM ft = 20 GHz IC fu = 2.9 GHz TYP.µPC2711TB fu = 2.6 GHz TYP.µPC2712TB GP = 13

More information

スライド 1

スライド 1 2011 年 10 月 4 日,SCOPE 第 7 回成果発表会, 幕張メッセ デジタルコヒーレント光通信技術の 研究開発 Research on Digital Coherent Optical Communication Systems 菊池和朗 Kazuro Kikuchi 東京大学大学院工学系研究科電気系工学専攻 Department of Electrical Engineering and

More information

新技術説明会 様式例

新技術説明会 様式例 1 周波数領域信号処理による 局部発振器位相雑音補償技術 東京工業大学理工学研究科電気電子工学専攻 助教タンザカン ミリ波帯を活用した無線通信システム ミリ波帯を活用した無線通信システムを実現するための取り組みが行われている. 屋内無線通信 (IEEE 802.11ad[1] など ) セルラネットワーク (5G) [2] ミリ波帯を活用することによって, より高速 大容量な通信を実現することができる.

More information

(Microsoft PowerPoint - \215\305\220V\203f\203W\203^\203\213\226\263\220\374\213Z\217p\202R.ppt)

(Microsoft PowerPoint - \215\305\220V\203f\203W\203^\203\213\226\263\220\374\213Z\217p\202R.ppt) 青山学院大学電気電子工学特論 I 最新デジタル無線技術 ~ 携帯電話に至った無線技術と今後 ~ 2007 年 6 月 23 日 ~ 7 月 7 日 相模原キャンパス L402 オフィスウワノ上野伴希 ( 工学博士 ) 講義内容 1. 無線技術の歴史とアナログ方式 6 月 23 日 2. デジタル無線通信 6 月 30 日 3. システム設計と無線回路の進化 7 月 7 日 4. デスカッション 7

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 3) PLL( 位相ロック ループ ) 回路でのトラブル解決技法と性能改善技法 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール

More information

(a) 4 1. A v = / 2. A i = / 3. A p = A v A i = ( )/( ) 4. Z i = / 5. Z o = /( ) = 0 2 1

(a) 4 1. A v = / 2. A i = / 3. A p = A v A i = ( )/( ) 4. Z i = / 5. Z o = /( ) = 0 2 1 http://www.ieicehbkb.org/ 1 7 2 1 7 2 2009 2 21 1 1 3 22 23 24 25 2 26 21 22 23 24 25 26 c 2011 1/(22) http://www.ieicehbkb.org/ 1 7 2 1 7 2 21 2009 2 1 1 3 1 211 2 1(a) 4 1. A v = / 2. A i = / 3. A p

More information

2 1. LAN LAN Aug. 02, 2008 Copyright 2008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved LAN LAN WLAN

2 1. LAN LAN Aug. 02, 2008 Copyright 2008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved LAN LAN WLAN . LAN.. LAN 4. 80. Aug. 0, 008 Copyright 008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved. 4. LAN.. LAN 4. 80. WLAN 00m WMAN 50Km WPAN 0m WiMax 80. 0Kbps 00K M 0M 00M G 5 LAN IEEE80.(997) 80.b(999,.4GHz/Mbps)

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

uPC2745TB,uPC2746TB DS

uPC2745TB,uPC2746TB DS Bipolar Analog Integrated Circuits 3 VIC µpc2745tb, µpc2746tb IC3 V1.8 V NESAT TM ft = 20 GHz IC VCC = 2.73.3 V VCC = 1.83.3 V µpc2745tbfu = 2.7 GHz TYP. @3 db µpc2746tbfu = 1.5 GHz TYP. @3 db µpc2745tbisl

More information

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E >

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E > 目次 参考文献安達著 : 通信システム工学, 朝倉書店,7 年. ディジタル変調. ディジタル伝送系モデル 3. 符号判定誤り確率 4. 元対称通信路 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 変調とは?. ディジタル変調 基底帯域 ( ベースバンド ) 伝送の信号波形は零周波数付近のスペクトルを持っている. しかし, 現実の大部分の通信路は零周波数付近を殆ど伝送することができない帯域通信路とみなされる.

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

アンリツテクニカルNo.91

アンリツテクニカルNo.91 Development of High Performance Waveguide Mixer MA2806A/08A. 大嶋真一郎 Shinichiro Oshima, 富崎巧一郎 Koichiro Tomisaki, 呉志輝 Zhihui Wu, マカバスコジェシパウロ Jesse Paulo Macabasco [ 要 旨 ] ミリ波の市場は, 次世代の無線通信システムを担う周波数帯として注目が高まっている

More information