USB-Blasterダウンロード・ケーブル・ユーザガイド

Size: px
Start display at page:

Download "USB-Blasterダウンロード・ケーブル・ユーザガイド"

Transcription

1 USB-Blaster 101 Innovation Drive San Jose, CA UG-USB P

2 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ii Altera Corporation

3 USB-Blaster USB-Blaster Windows 2000 Windows XP 1 4 USB-Blaster Linux 1 5 Quartus II USB-Blaster 1 6 USB-Blaster 2 1 USB-Blaster USB-Blaster USB Altera Corporation iii

4 iv USB Blaster Altera Corporation

5 USB-Blaster USB-Blaster LinuxLinux Quartus II USB-Blaster USB-Blaster Windows 2000 Windows XP QII USB- Blaster USB-Blaster Windows 2000 Windows XP USB-Blaster Altera Corporation v

6 Courier : Save As : f MAX, \qdesigns d: chiptrip.gdf : AN 75: High-Speed Board Design : t PIA, n + 1 (< >) : < > < >.pof : Delete Options : Courier : data1 tdi input Low n ( : resetn) a. b. c. Courier ( : c:\qdesigns\tutorial\chiptrip.gdf) Report ( : AHDL SUBDESIGN) ( : TRI) Courier 1 CAUTION Enter vi Altera Corporation USB-Blaster

7 1. USB-Blaster USB-Blaster USB FPGA PC FPGA 10 USB-Blaster USB-Blaster FPGA : Stratix II Stratix II GX Stratix GX Stratix Cyclone II Cyclone APEX II APEX 20K ACEX 1K Mercury FLEX 10K FLEX 10KE FLEX 10KA Excalibur UFM : MAX II EEPROM : MAX 3000 MAX 7000 : EPC2 EPC4 EPC8 EPC16 EPC1441 : EPCS1 EPCS4 EPCS16 EPCS64 Altera Corporation ébíëété Å[Ég

8 USB-Blaster USB-Blaster 5.0 V TTL 3.3 V LVTTL/ LVCMOS 1.5 V 3.3 V I/O USB-Blaster USB 5.0 V 1.5 V 5.0 V USB-Blaster Windows 2000 Windows XP Linux Quartus II 4.0 USB-Blsater Quartus II Programmer Quartus II SignalTap II Quartus II Programmer Quartus II SignalTap II USB-Blaster 2 USB-Blaster USB-Blaster USB PC USB USB-Blaster 3. USB-Blaster USB-Blaster 1 2 Altera Corporation USB-Blaster

9 USB-Blaster 10 PC USB USB-Blaster 1-1. USB-Blsater 10-pin Female Connector (connects to target printed circuit board 10-pin male header) PIN1 SIDE TARGET BLASTER SIDE 4. Found New Hardware USB-Blaster Windows 2000 Windows XP USB-Blaster Quartus II USB-Blaster Altera Corporation USB-Blaster

10 USB-Blaster USB-Blaster Windows 2000 Windows XP Windows 2000 Windows XPUSB-Blaster USB-Blaster : \<Quartus II system directory>\drivers\usb-blaster ( Blaster 1. USB-Blaster PC 2. Found New Hardware Wizard No, not this time Next 3. Install from a list of specific location (Advanced) Next 4. Don t search. I will choose the driver to install. Next 5. Sound, video and game controllers Next 6. Have Disk \<Quartus II system directory>\drivers\usb-blasterok Quartus II Quartus II 7. Altera USB-Blaster Next 8. Next 9. Hardware Installation Continue Anyway 1 4 Altera Corporation USB-Blaster

11 10. Completing the Add/Remove Hardware Wizard Finish USB-Blaster Linux USB-Blaster Linux Quartus II RedHat Linux USB usbfs USB-Blaster root usbfs USB-Blaster Quartus II USB-Blaster root 1. /etc/hotplug/usb.usermap # # Altera USB-Blaster # usbblaster 0x03 0x09fb 0x6001 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 usbblaster 0x03 0x09fb 0x6002 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 usbblaster 0x03 0x09fb 0x6003 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 2. /etc/hotplug/usb/usbblaster #!/bin/sh # USB-Blaster hotplug script # Allow any user to access the cable chmod 666 $DEVICE Quartus II USB-Blasterwww.altera.co.jp/ support/software/drivers Altera Corporation USB-Blaster

12 USB-Blaster Quartus II USB-Blaster Quartus II USB-Blaster 1. Quartus II 2. Programmer Tools 3. Hardware Setup Hardware Setup Hardware Settings Hardware Setup 4. Drop-down USB-Blaster USB Close Hardware Setup 6. Mode Programmer Altera Corporation USB-Blaster

13 USB-Blaster JTAG Joint Test Action Group 1 1. JTAG Joint Test Action Group FLEX 6000 Quartus II USB-Blaster MAX 3000 MAX 7000Quartus II 1 EPCS1 EPCS4 EPCS16 EPCS64 Introduction to Quartus II Quartus II Quartus II Help Changing the Hardware Setup Programmer Introduction Overview: Working with Chain Description Files Overview: Converting Programming Files Altera Corporation USB-Blaster

14 USB-Blaster 1 8 Altera Corporation USB-Blaster

15 2. USB-Blaster USB-Blaster USB-Blaster USB-Blaster USB-Blaster USB-Blaster USB-Blaster PC USB USB 10 PC USB USB-Blaster USB-Blaster VCC(TRGT) USB-Blaster V CC(TRGT) USB-Blaster VCC(TRGT) MAX II MAX 7000S MAX 7000AE MAX 3000A MAX 7000B Stratix Stratix II Stratix GX Stratix II GX / USB-Blaster VCC 1 V CCIO 5 V 3.3 V 2.5 V V CCSEL Cyclone II Cyclone APEX II APEX 20K Mercury FLEX 10K FLEX 8000 FLEX 6000 FLEX 10KE V CCIO 5 V 2.5 V Altera Corporation

16 USB-Blaster 2 1. USB-Blaster VCC(TRGT) FLEX 10KA FLEX 6000A EPC2 EPC4 EPC8 EPC16 EPCS1 EPCS4 EPCS16 EPCS64 / 3.3 V 5 V 3.3 V 3.3 V 3.3 V USB USB 2 1 USB-Blaster 2-1. USB-Blaster USBVCC USB Receptacle V CC USB Interface Chip EPM7064AETC44 I/O I/Os I/O Voltage Translator Circuitry Pin 1 10-Pin Female Plug V CC I/O I/Os I/O I/O I/O I/O I/O USB-Blaster Altera Corporation USB-Blaster

17 USB-Blaster 2-2. USB-Blaster Typ Typ Sq Typ Sq. Dimensions are shown in inches. Spacing between pin centers is 0.1 inches USB-Blaster / AS PS JTAG 1 DCLK DCLK TCK 2 GND GND GND 3 CONF_DONE CONF_DONE TDO 4 VCC(TRGT) VCC(TRGT) VCC(TRGT) 5 nconfig 6 nce Cyclone 7 DATAOUT 8 ncs nconfig TMS JTAG nstatus Altera Corporation USB-Blaster

18 USB-Blaster 2 2. USB-Blaster 9 ASDI DATA0 TDI / 10 GND GND GND I/O USB-Blaster V CC(TRGT) USB-Blaster Top View Side View Sq USB-Blaster DC 2 3. USB-Blaster / Min Max V CC(TRGT) GND V V CC(USB) USB GND V I I TDO dataout ma 2 4 Altera Corporation USB-Blaster

19 2 3. USB-Blaster / Min Max I o Rev. A Rev. B TCK TMS TDI ncs nce ma Rev. C ma 2 4. USB-Blaster Min Max V CC(TRGT) 5.0 V V 3.3 V V 2.5 V V 1.8 V V 1.5 V V 2 5. USB-Blaster Rev. A B Min Max V IH High V CC(TRGT) 0.2 V V IL Low 0.15 V V OH 5.0 V High V CC(TRGT) = 4.5 V, I OH =1mA 4.4 V 3.3 V High V CC(TRGT) = 3.0 V, I OH =1mA 2.9 V 2.5 V High V CC(TRGT) = V, I OH = 1 ma V 1.8 V High V CC(TRGT) = 1.71 V, I OH = 1 ma 1.61 V 1.5 V High V CC(TRGT) = 1.43 V, IOH = 1 ma 1.33 V V OL 5.0 V Low V CC(TRGT) = 5.5 V, I OL = 1 ma V 3.3 V Low V CC(TRGT) = 3.6 V, I OL = 1 ma V 2.5 V Low V CC(TRGT) = V, I OL = 1 ma V 1.8 V Low V CC(TRGT) = 1.89 V, I OL = 1 ma V 1.5 V Low V CC(TRGT) = 1.57 V, IOL = 1 ma V I CC(USB) I CC(USB) = 80 ma 150 ma Altera Corporation USB-Blaster

20 USB-Blaster 2 6. USB-Blaster Rev. C DC Min Max V IH High V CC(TRGT) >= 2.0 V 2.0 V V CC(TRGT) < 2.0 V V CC(TRGT) V V IL Low V CC(TRGT) >= 2.0 V 0.8 V V CC(TRGT) < 2.0 V 0 V V OH 5.0 V High V CC(TRGT) = 4.5 V, I OH = -10 ma 3.8 V 3.3 V High V CC(TRGT) = 3.0 V, I OH =-8mA 2.3 V 2.5 V High V CC(TRGT) = V, I OH =-6mA 1.8 V 1.8 V High V CC(TRGT) = 1.71 V, I OH =-4mA 1.2 V 1.5 V High V CC(TRGT) = 1.43 V, IOH = -2 ma 1.0 V V OL 5.0 V Low V CC(TRGT) = 5.5 V, I OL =10mA 0.8 V 3.3 V Low V CC(TRGT) = 3.6 V, I OL =8mA 0.7 V 2.5 V Low V CC(TRGT) = V, I OL =6mA 0.6 V 1.8 V Low V CC(TRGT) = 1.89 V, I OL =4mA 0.5 V 1.5 V Low V CC(TRGT) = 1.57 V, IOL = 2 ma 0.4 V I CC(USB) I CC(USB) =80 ma 150 ma USB 2 7 USB-Blaster 2 7. USB / RoHS Rev. A (1) Rev. B 10 USB-Blaster 10 PCB USB-Blaster 2 6 Altera Corporation USB-Blaster

21 2 7. USB / RoHS Rev. C RoHS 10 PCB USB-Blaster 2 7 : (1) B C "Rev. B" "Rev. C" A ISP In-System Programmability AN 39: IEEE (JTAG) Boundary-Scan Testing in Altera Devices AN 95: In-System Programmability in MAX Devices AN 250: Configuring Cyclone FPGAs Stratix II Volume 2 Stratix II Stratix Stratix Stratix GX Cyclone II Cyclone II Serial Configuration Devices Data Sheet Introduction to Quartus II Quartus II Programming module QuartusII Help Glossary USB-Blaster Cable Configuration scheme Programming files QuartusII Help Programming a Single Device or Multiple Devices in JTAG or Passive Serial Mode Programming a Single Device in Active Serial Programming Mode QuartusII Help Programmer Introduction Overview: Working with Chain Description Files Overview: Converting Programming Files Altera Corporation USB-Blaster

22 USB-Blaster 2 8 Altera Corporation USB-Blaster

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド

インテル® FPGA USBダウンロード・ケーブル・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 USB ダウンロード ケーブルの概要... 3 1.1 USB ダウンロード ケーブル レビジョン... 3 1.2 サポートしているデバイスおよびホストシステム... 3 2 USB ダウンロード ケーブルの仕様... 4 2.1 ブロック図および寸法...4 2.2 ケーブルとボードの接続...4 2.3

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1999 5 ver. 3 Application Note 100 Time-to-Market ISP PLD PCB ISP IEEE Std. 1149.1 JTAG Joint Test Action Group JTAG PCB ISP ISP IEEE Std.1149.1 ISP ISP

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

Cyclone II Device Handbook

Cyclone II Device Handbook VI. Cyclone II Cyclone II JTAG 13 Cyclone II 14 Cyclone II IEEE 1149.1 (JTAG) Altera Corporation VI 1 Preliminary Cyclone II, Volume 1 13 14 / 13 2004 11 v1.1 2004 6 v1.0 14 2004 6 v1.0 AS AS 13-8 MAX

More information

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート

エンハンスド・コンフィギュレーション・デバイス(EPC4、EPC8 & EPC16)データシート 2. EPC4 EPC8 & EPC16 CF52002-2.2 EPC4 EPC8 EPC16 Stratix Cyclone APEX II APEX 20K APEX 20K APEX 20KC APEX 20KE Mercury ACEX 1K FLEX 10KFLEX 10KE FLEX 10KA 4 8 16 / EPC16 EPC4 8 Stratix FPP DCLK 8 FPGA

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

News & Views Q1 2004

News & Views Q1 2004 NV-2004-Q1/JP Executive Viewpoint 2 Altera Corporation News & Views First Quarter 2004 Table of Contents 4 8 13 Altera, ACAP, ACCESS, ACEX, ACEX 1K, AMPP, APEX, APEX 20K, APEX 20KC, APEX 20KE, APEX II,

More information

SonicWALL SSL-VPN 4000 導入ガイド

SonicWALL SSL-VPN 4000 導入ガイド COMPREHENSIVE INTERNET SECURITY SonicWALL セキュリティ装置 SonicWALL SSL-VPN 4000 導入ガイド 1 2 3 4 5 6 7 8 9-1 2 - 3 1 4 - 5 2 1. 2. 3 6 3 1. 2. 3. 4. 5. - 7 4 4 8 1. 2. 3. 4. 1. 2. 3. 4. 5. - 9 6. 7. 1. 2. 3. 1.

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

ドライバインストールガイド

ドライバインストールガイド PRIMERGY Single Port ファイバーチャネルカード (8Gbps) Dual Port ファイバーチャネルカード (8Gbps) (PG-FC205/PG-FC205L) (PG-FC206/PG-FC206L) CA092276-8938-01 ドライバインストールガイド i 目次 1. ドライバのインストール / アンインストール方法... 3 1.1. ドライバのダウンロード

More information

MIDI_IO.book

MIDI_IO.book MIDI I/O t Copyright This guide is copyrighted 2002 by Digidesign, a division of Avid Technology, Inc. (hereafter Digidesign ), with all rights reserved. Under copyright laws, this guide may not be duplicated

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

Software Tag Implementation in Adobe Products

Software Tag Implementation in Adobe Products 2011 Adobe Systems Incorporated. All rights reserved. Software Tagging in Adobe Products Tech Note Adobe, the Adobe logo, and Creative Suite are either registered trademarks or trademarks of Adobe Systems

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

    

     The Intelligent Technology Company ALTERA CPLD/FPGA ELS5004_S000_10 2006 4 ALTERA CPLD/FPGA...3...3 - Absolute Maximum Ratings...3 - Recommended Operating Conditions...4 - DCDC Operating Conditions...4

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

JABRA BT

JABRA BT USER MANUAL ....................................................... 2 JABRA BT3030..................................... 2............................................ 3...........................................................

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD 0 XA2C256 Coolunner-II CPLD DS555 (v1.1) 2007 5 5 0 0 AEC-Q100 PPAP I Q ( ) T A = -40 C +105 C T J = +125 C (Q ) 1.8V 0.18 CMOS CPLD - ( Coolunner -II ) - 1.5V 3.3V - 100 VQFP (80 ) - 144 TQFP (118 ) -

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

Adobe Acrobat DC 製品比較表

Adobe Acrobat DC 製品比較表 X X Adobe, the Adobe logo, Acrobat, the Adobe PDF logo, Creative Cloud, and Reader are either registered trademarks or trademarks of Adobe Systems Incorporated in the United States and/or other countries.

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

Dolphin 6110 Quick Start Guide

Dolphin 6110 Quick Start Guide Dolphin TM 6110 モバイルコンピュータ クイックスタートガイド Dolphin 6110 モバイルコンピュータ ªªªªª v t ª ª ªªª v Dolphin 6110 ªª ª ªªªªªª ( ) ª ª ªªªªª ªªª (3.7 V ªª ª ª ) AC «KSAS0100500200D5 :100-240V AC 50/60Hz 0.4 A : 5 V DC 2.0

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN Xenoma GENKEI Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda 2013 3D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN 2016 Honda EV 2 Autodesk Fusion 360

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

Configuring_01

Configuring_01 Symantec Backup Exec Dell EqualLogic Microsoft Exchange Server SQL Server IT / / 24 365 Symantec Backup Exec Advanced Disk-based Backup Option (ADBO) Dell Equal- Logic Microsoft Exchange Server 2003 2007

More information

ベース0516.indd

ベース0516.indd QlikView QlikView 2012 2 qlikview.com Business Discovery QlikTech QlikView QlikView QlikView QlikView 1 QlikView Server QlikTech QlikView Scaling Up vs. Scaling Out in a QlikView Environment 2 QlikView

More information

LB IC Semiconductor Components Industries, LLC, 2013 August, 2013

LB IC Semiconductor Components Industries, LLC, 2013 August, 2013 http://onsemi.jp IC Semiconductor Components Industries, LLC, 2013 August, 2013 Δ Δ Δ μ μ μ Δ μ Δ μ μ μ μ μ μ μ μ μ Δ Δ μ μ μ μ μ μ μ μ μ μ μ 36 19 0.5 5.6 7.6 1 0.3 18 0.2 15.0 1.5 1.7max (0.7) 0.8 0.1

More information

内蔵ハードディスクユニット-20GB (PG-HD2E4H) 内蔵ハードディスクユニット-40GB (PG-HD4E4H)取扱説明書 HARD DISK DRIVE 20GB(PG-HD2E4H) HARD DISK DRIVE 40GB(PG-HD4E4H) USER'S GUIDE

内蔵ハードディスクユニット-20GB (PG-HD2E4H) 内蔵ハードディスクユニット-40GB (PG-HD4E4H)取扱説明書 HARD DISK DRIVE 20GB(PG-HD2E4H)  HARD DISK DRIVE 40GB(PG-HD4E4H) USER'S GUIDE B7FY-0351-02 J E J 1 J 1 2 3 2 4 J 3 4 Preface Thank you very much for purchasing the hard disk drive. This hard disk drive provides a IDE interface and can be installed in the 3.5-inch storage bay of

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

360_h1_4.ai

360_h1_4.ai 2008 EA Digital Illusions CE AB. Mirror's Edge and the DICE logo are trademarks or registered trademarks of EA Digital Illusions CE AB. All Rights Reserved. EA and the EA logo are trademarks or registered

More information

Zinstall WinWin 日本語ユーザーズガイド

Zinstall WinWin 日本語ユーザーズガイド Zinstall WinWin User Guide Thank you for purchasing Zinstall WinWin. If you have any questions, issues or problems, please contact us: Toll-free phone: (877) 444-1588 International callers: +1-877-444-1588

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

> > > > > はじめに

> > > > > はじめに > > > > > AV RECEIVER VSX-S520 > > > > > はじめに 0 0 0 0 0 0 2 > > > > > Í P6 3 > > > > > 90 180 4 > > > > > Í P40 P30 30 30 5 > > > > > Neo:6 P51 6 > > > > > 2 1 e e e 7 > > > > > 1 0 e e 8 > > > > > 1 0

More information

TOEIC(R) Newsletter

TOEIC(R) Newsletter June 2009 No.105 TOEIC Newsletter TOEIC Newsletter No.105 June 2009 2 TOEIC Newsletter No.105 June 2009 3 4 TOEIC Newsletter No.105 June 2009 TOEIC Newsletter No.105 June 2009 5 6 TOEIC Newsletter No.105

More information

MAX11014 EV.J

MAX11014 EV.J 19-4147; Rev 1; 9/08 PART MAX11014EVKIT+ TYPE EV Kit DESIGNATION QTY DESCRIPTION C1, C8, C11 C14, C18, C22, C23, C34 C43, C45, C51 C2, C7, C15, C16, C17, C21, C26, C27, C31, C33, C44, C46, C47, C48, C52,

More information

> > > > > はじめに

> > > > > はじめに > > > > > NETWORK STEREO RECEIVER SX-S30 > > > > > はじめに 0 0 0 0 0 0 0 2 > > > > > Í P24 P6 P34 P35 3 > > > > > 90 180 4 > > > > > Í P26 P24 30 30 5 > > > > > Neo:6 P35 6 > > > > > 1 1 12 mm 2 3 2 P45 a

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

PX-673F

PX-673F NPD4385-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...29...29...30...30...33

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

LB11921T OA 3 Semiconductor Components Industries, LLC, 2013 August, 2013

LB11921T OA 3   Semiconductor Components Industries, LLC, 2013 August, 2013 OA3 http://onsemi.jp Semiconductor Components Industries, LLC, 2013 August, 2013 μ μ μ Δ μ μ μ Δ Δ μ μ μ μ μ μ Δ μ μ Ω Δ μ μ Ω Δ μ μ Ω Δ μ μ Ω 9.75 36 19 1 18 (0.5) 0.18 0.15 (0.63) SANYO : TSSOP36(275mil)

More information

PX-434A/PX-404A

PX-434A/PX-404A NPD4534-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...26...27...27...28...28...31 Web...31...31...35...35...35...37...37...37...39...39...40...43...48

More information

6 4 4 9RERE6RE 5 5 6 7 8 9 4 5 6 4 4 5 6 8 4 46 5 7 54 58 60 6 69 7 8 0 9 9 79 0 4 0 0 4 4 60 6 9 4 6 46 5 4 4 5 4 4 7 44 44 6 44 8 44 46 44 44 4 44 0 4 4 5 4 8 6 0 4 0 4 4 5 45 4 5 50 4 58 60 57 54

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

> > > > > はじめに

> > > > > はじめに > > > > > NETWORK AV RECEIVER TX-L50 > > > > > はじめに 0 0 0 0 0 0 2 > > > > > Í P6 P30 4 3 3 > > > > > 90 180 4 > > > > > Í P49 P53 P30 30 30 5 > > > > > Neo:6 P64 6 > > > > > 2 1 e e e 7 > > > > > 1 0 e

More information

AN 74: アルテラ・デバイスの消費電力評価方法

AN 74: アルテラ・デバイスの消費電力評価方法 AN 74: Evaluating Power for Altera Devices 1998 1 ver.2 Application Note 74 P EST = P INT + P IO P INT = I CCINT V CCINT P IO = P ACOUT + P DCOUT P EST = (I CCINT V CCINT ) + (P ACOUT + P DCOUT ) Altera

More information

展開とプロビジョニングの概念

展開とプロビジョニングの概念 ADOBE CREATIVE SUITE 5 2010 Adobe Systems Incorporated and its licensors. All rights reserved. Adobe Creative Suite Deployment and Provisioning Concepts This guide is licensed for use under the terms of

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

SketchBook Express V6.0.1

SketchBook Express V6.0.1 SketchBook Express V6.0.1 Copyrights and Trademarks Autodesk SketchBook Express v6.0.1 2012 Autodesk, Inc. All Rights Reserved. Except as otherwise permitted by Autodesk, Inc., this publication, or parts

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information