スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 先端アナログ デジタル混載 CMOS 集積回路技術と システムへの応用 東京工業大学大学院理工学研究科 松澤 岡田研究室 宮原正也 Tokyo Institute & of Okada Technology Lab.

2 発表内容 1 1. 自己紹介 2. 研究歴 3. システム応用アナログ回路開発事例 ミリ波無線通信用高速データコンバータの研究 ヘルスケアシステム用アナログ回路技術の研究 粒子検出器ピクセル読み出し集積回路 (QPIX) 4. まとめ

3 自己紹介 2 宮原正也助教東京工業大学大学院理工学研究科電子物理工学専攻 専門分野 : アナログ デジタル混載集積回路設計 特に微細 CMOS を用いたデータコンバータ 2001 木更津工業高等専門学校電気工学科卒業 2002 ソニーコンポーネント千葉 ( 株 ) 退社 2004 木更津工業高等専門学校専攻科修了 2006 東京工業大学大学院理工学研究科修士号取得 2007 Intel@Communication Circuit Lab., Oregon, USA 2009 東京工業大学大学院理工学研究科博士号取得 2009/4~ 東京工業大学大学院理工学研究科助教

4 研究歴 1 3 ミリ波無線通信用高速データコンバータの研究 (1) 近距離通信 ( 距離 1m, 2.5Gbps) 用超高速 ADC/DAC (40nm) 1.1V, 2.304GSps, 12mW, 5bit ADC 1.1V, 3.456GSps, 20mW, 6bit DAC 1.1V, 1GHz BW, 9mW, 40dB VGA (2) FWA( 距離数 km, 1Gbps) 用高精度 高速 ADC/DAC (90nm) 1.2V, 400MSps, 40mW, 10bit ADC 1.2V, 800MSps, 20mW, 12bit DAC 低電圧動作アナログ回路の研究 (90nm) 0.5V, 600MSps, 1.2mW, 5bit ADC 0.5V, 750MSps, 1.4mW, 10bit DAC ヘルスケアシステム用アナログ回路技術の研究 (180nm) (1) 膀胱内圧無線測定用センサーテレメトリー LSI の開発 1.5V, 30Sps, 10bit, 4.5nW 容量 - デジタル変換器磁気結合型低電力体外無線通信技術 (12cm, 40kbps, 30mW) (2) 妊婦見守り用胎児心電モニタリングのための高精度 ADC の開発 1.8V, 10kHz 帯域, 16bit, ΔΣADC 1.8V, 300Hz 帯域, 2uVrms 入力換算雑音増幅器

5 研究歴 2 4 粒子検出器ピクセル読み出し集積回路 (QPIX)(180nm) (1) ピクセル内蔵のための超小型 ADC の開発 (2) ピクセル間ばらつきを補償するためのアナログ回路技術に関する研究 1.8V, 10MSps, 10bit, SAR ADC を各ピクセルに内蔵した検出器 20x20 ピクセル粒子検出器による粒子飛跡検出実験中 ( 一部成功 ) 環境適応型エネルギーハーベスティング回路技術の研究 (1) 電磁波エネルギー回収効率向上のための昇圧コンバータ開発 (2) 電力動作点モニタ用低電力 ADC の開発 (3) 低電力 低雑音センサ読み出し回路の開発

6 総務省ミリ波プロジェクト 5 屋内 (~10m) 及び屋外 (1km~4km) のミリ波無線通信システム及び S お C の開発によりミリ波利用を促進する FY2007-FY GHz, Indoor 3-10 Gbps ~10m 2. 38GHz, Outdoor Gbps 1km~4km

7 各種コンテンツの転送に要する時間 6 ミリ波を用いれば無線でも約 10 秒で DVD のコンテンツが転送可能

8 60GHz CMOS トランシーバーチップの開発 7 ダイレクトコンバージョン方式により小型 低消費電力 60GHz Rx 60GHz I 60GHz Q 20GHz VGA LPF VGA LPF ADC ADC Digital BB 6.3Gb/s 20GHz PLL BB PLL 60GHz 60GHz I LPF DAC Tx 20GHz Digital BB 6.3Gb/s 60GHz Q LPF DAC RFチップ BBチップ 2012/11/6 2012/03/05 Masaya MIYAHARA, Tokyo Tech

9 チップ概観 8 60GHz 用 RF+BB チップを開発 65nm CMOS BB Chip は Sony との共同開発 40nm CMOS

10 D-FF 5bit x 8 ベースバンド用 ADC の開発 ADC 世界最小クラスの 12mW の低消費電力 & 小面積 5b, 2.3GSps, 12mW/ch VGA I+ 5bit, 1152 MHz 5bit Flash ADC1 5bit x 4, 288 MHz S/P 1:4 5bit x 8, 288 MHz 9 Clock 2304 MHz PLL 1/2 Q QB 1/4 1/4 Digital BB VGA I- 5bit Flash ADC2 S/P 1:4 Ref. # 方式搭載 Cal. Fs [GS/s] SNDR [db] Power [mw] FoM [fj/-c.s.] Process [nm] Area [mm 2 ] [1] Flash No [2] SAR No Internal [3] Folding No Internal [4] [5] [6] Pipeline,F olding Flash No External No Yes Internal (ENOB ) This work Flash Yes Internal Lab. Tokyo Institute & Okada of Technology Lab

11 RF+BB Measurement Setup 10 BB chip RF chip with 6dBi antenna [3] BB chip BB board Control (FPGA) Power supply BB PHY RF board I/Q Tx mode RF board I/Q Absorber Rx mode RF board I/Q RF board I/Q BB board Power supply BB PHY Control (FPGA) Control signals Control signals Laptop PC [3] R. Suga, et al., EuMC Laptop PC

12 Communication Distance BER No error floor was observed. 3.1Gb/s QPSK with LDPC 1.7m at a BER of 10-6 without LDPC with LDPC Distance [m]

13 Performance Comparison 12 CEA- LETI [5] SiBeam [6] Tokyo Tech (This work) Integration Data rate (16QAM) RF (Hetero) 3.8Gb/s RF (Hetero) 3.8Gb/s RF (Direct) +analog BB +digital BB RF: w/ wider-bw 10Gb/s RF+BB: 6.3Gb/s Tech. 65nm 65nm 65nm(RF) 40nm(BB) P DC (Tx/Rx) 1,357mW / 454mW 1,820mW / 1,250mW RF:319mW / 223mW BB:196mW / 398mW [5] A. Siligaris, et al., ISSCC 2011 [6] S. Emami, et al., ISSCC

14 38GHz 屋外ミリ波システム 13 平面アンテナと回路基板を一体化 1Gbps の伝送を達成 JRC との共同開発

15 開発したアナ デジ混載 BB SoC 14 DSP と ADC, DAC を混載した SoC を開発 64QAM を用いることで 260MHz の帯域で 1Gbps の超高速伝送を実現 SDRAM EEPROM SDRAM Flash Memory Synthesizer CPU Core and Peripheral Circuits Temperature Sensor ADC, DAC を開発 Gigabit Ethernet Transceiver Gigabit Ethernet MAC Radio MAC Network Interface Block Framer QAM Modem QAM Modem Block D/A Converter A/D Converter D/A and A/D Converters Baseband Processing SoC I/Q Quadrature Modulator and Demodulator Base band SoC ADC & DAC 90nm CMOS 40M Transistors

16 ミリ波中距離モデルネットワーク 15 4km ミリ波伝送を確立 NEC 4km Tokyo Tech 1km

17 BER BER vs. SNR 16 ADC の性能向上 (8bit 10bit) で BER 特性を改善 C/N vs 64QAM_BER on B-B pair 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 1.E-09 1.E-10 1.E-11 1.E-12 1.E-13 1.E Measurement ENOB=6.0 (600Mbps version) ENOB=6.25 ENOB=6.5 (1Gbps version 2009) ENOB=6.75 ENOB=7.0 ENOB=7.4 ENOB=8.5 (ADC design target) ENOB=7.15 C/N [db] (1Gbps version 2010)

18 700μ m Pipeline Stage Pipeline Stage 新方式 ADC の開発 17 補間パイプライン型と名付けた A/D 変換方式の考案 OP アンプを用いなくとも高精度な変換を可能にした 10b, 320MSps, 40mW ADC Encoder Pipeline Stage 2 nd stage 3 rd stage V in Sample & CDAC A1 a Int. Caps. A2 a CMP1 CMP2 CMP2 1 st stage 4 th stage 5 th stage V r Sample & CDAC Int. A1 b Caps. A2 b D 1st (3b+1b) D 2nd (2b+1b) D 3rd (2b+1b) D 4th (2b+1b) D 5h (1b) Correction Logic Timing generator 10b 750μ m Fig. 2 M. Miyahara, A., et al., VLSI Circuits 2011

19 Stage8 Stage4 Stage3 Stage2 Stage1 S/H 従来のパイプライン ADC 18 従来のパイプライン ADC は正確な 2 倍の利得を実現する必要があった Input 2 Residue Sub- ADC Sub- DAC 1.5b MDAC Analog Input 2b Flash 1.5b 1.5b 1.5b 1.5b 1.5b Digital Correction Logic 2b Digtal Out

20 パイプライン ADC の変換方式 19 V FS Residue 3 Input V MDAC1 4 1 V 2 1 V 4 0 FS FS FS V in V o1 V o1 1 2Vin V 2 Output CODE 1 FS Threshold x2 MDAC2 Vo2 V o 3 Vo2 2V o1 0 V x2 o3 MDAC3 1 2Vo2 V FS

21 パイプライン ADC の変換方式 20 V FS Residue 3 Input V MDAC1 4 1 V 2 1 V 4 0 FS FS FS V in V o1 Output CODE V o1 1 2Vin V 2 1 FS Threshold x2 x2.1 MDAC2 Vo2 V o 3 Vo2 2V o1 0 V x2 o3 MDAC3 1 2Vo2 V FS

22 従来の MDAC 構成 21 V in 高精度では高利得オペアンプが必須 微細 CMOSでは実現が困難 閉ループアンプでは応答が遅い C e mc - V out ADC DAC + G 0 G0(dB) 6N 10 GBW NF S D out m bit MDAC Implementation N: Number of bits F s : Sampling freq. 21

23 補間方式を用いた変換 22 A 1a V oa V out Interpolator CMP V oa A 1b V ob CMP V in V ob 22

24 補間方式を用いた変換 23 A 1a V oa V out Interpolator CMP V oa V oa : V ob = 1 : 1 A 1b V ob CMP V in A 1a A 1b R R Interpolator example [3,4] V ob CMP [3]A., et al. Feb [4]C. Mangelthdolf, et al., Feb

25 補間方式を用いた変換 24 A 1a V oa V out V oa : V ob = 3 : 1 Interpolator CMP V oa V oa : V ob = 2 : 2 A 1b V ob V oa : V ob = 1 : 3 CMP V in A 1a A 1b 3R 1R CMP V ob Interpolator example 24

26 補間方式を用いた変換 25 A 1a V oa V out V oa : V ob = 3 : 1 Interpolator CMP V oa V oa : V ob = 2 : 2 A 1b V ob V oa : V ob = 1 : 3 CMP V in A 1a A 1b 3R 1R CMP V ob Interpolator example 25

27 補間方式を用いた変換 26 A 1a V oa V out V oa : V ob = 3 : 1 Interpolator CMP V oa V oa : V ob = 2 : 2 A 1b V ob V oa : V ob = 1 : 3 CMP V in A 1a A 1b 3R 1R CMP Interpolator example Masaya MIYAHARA, Tokyo Tech V ob Conversion error is not occurred by changing gain 26

28 補間型パイプライン ADC 27 補間方式を用いた変換を行いつつパイプライン処理 冗長構成によりそれぞれのステージの比較器精度は緩くすむ 1 st stage 2 nd stage 3 rd stage 4 th stage Pipeline Stage V in V r Sample & CDAC CMP1 Sample & CDAC A 1a A 1b Int. Caps. CMP2 Int. Caps. A 2a A 2b Pipeline Stage Pipeline Stage CMP2 D 1st (3b+1b) D 2nd (2b+1b) D 3rd (2b+1b) D 4th (2b+1b) D 5th (1b) Correction Logic 10b

29 補間信号の発生方法 28 V ob 容量比を動的に変化させることで任意の補間信号を生成可能 V x m G m n mc nc mc nc Sampling phase a V V G V V in 2 M = m+n V oa ra V V oa ob V ' V ' oa ob n m n G G a b G G a b V V in in V V b V V ra rb off_a off_b in V V off_a off_b rb mc nc mc nc V ob V oa V x Interpolation phase G a, G b : A 1a と A 1b の利得 V oa, V ob : アンプ出力電圧 V off_a, V off_b : アンプオフセット電圧 V ra, V rb : 参照電圧 m, n : 容量比

30 補間信号の発生方法 29 A 1a V oa Int. Caps. V xa A 2a CMP2 V oa V x A 1b Int. Caps. A 2b V ob V xb CMP2 1 3 mc nc mc nc V ob V oa V ob V in V xa Interpolation phase 29

31 補間信号の発生方法 30 A 1a V oa Int. Caps. V xa A 2a CMP2 V oa V x V xa V xb A 1b Int. Caps. A 2b V ob V xb CMP2 1 3 mc nc mc nc V ob V oa V ob V in V xa Interpolation phase 30

32 性能比較 複雑な補正なしに高速 高精度を達成 This Work [2] [6] [7] Resolution (bit) F sample (MS/s) V DD (V) Power (mw) ENOB peak (bit) FoM Fs / FoM ERBW (pj/c.-s) 0.35 / /0.44 Technology (nm) Active Area (mm 2 ) Amplifier type Open Closed Closed Closed Linearity Compensation No Yes No Yes [2] A. Verma and B. Razavi, IEEE J. Solid-State Circuits, vol. 44, Nov., [6] S. Lee, Y. Jeon, K. Kim, J. Kwon, J. Kim, J. Moon, and W. Lee, ISSCC, [7] H. Chen, W. Shen, W. Cheng, and H. Chen, A-SSCC,

33 膀胱内圧測定カプセル 32 膀胱内圧を測定し外部に無線送信するシステム 4 日間の連続動作が必要 超小型でもあるので無線電力電装は困難

34 開発チップの概要 33 圧力 ( 容量値 ) をデジタル変換し データを 30 回 / 秒 15cm 通信するチップアナログ部分の消費電流は 13μA 程度で動作する

35 開発チップの要素回路 34 SAR ADC の変換方式を用いて容量値を直接デジタル値に変換する 1. 10b SAR like architecture 2. Self-clocking 3. Single to differential times/sec ( 動作電流 )

36 Pixel readout LSI 35 Gas chamber y z (Time) E Primary charge Cathode Hitted pixel Pixel PAD Q TOT TOF Induced current in pixel Charged particle LSI (anode) x x-y-axis : pixel position z-axis information : TOF Signal pulse width : TOT Q : the energy of the particle TOF : Time of Flight (drift time) TOT : Time over Threshold (Density of electron in z direction ) Q : total deposited charge

37 QPIX ver.1 の構成 36 SAR ADC により電荷を直接測定 TOT, TOF 情報を同時に取得 ANALOG DIGITAL Pre pixel V cm Q i PAD I in Reg. V i TIA R V I amp A 0 C f I int V amp V t current copy V int SAR ADC V comp Pixel Control Logic CLK_TOF CLK_Read CLK_TOT EOC TOF Counter TOT Counter ADC Register SW R Test_in Test_CK Test_Bit f R Integrator CLK Tofgate CAL_on Next pixel

38 Chip implementation 37 Chip micrograph Pixel layout 0.18 mm CMOS 400 pixel cells

39 性能比較 38 Qpix v.1 Qpix v.2 Pixel cell Timepix [3] Number of Pixels 20 x x 256 Pixel dimensions Detecting event 200 x 200 mm 2 (Active: 130 x 140 mm 2 ) First event 130 x 140 mm 2 50 x 50 mm 2 First/Last event selectable First event Dynamic range 10 fc ~ 1.5 pc 1 fc ~ 750 fc 0.1 fc ~ 12 fc Comp. threshold 35 fc (35 mv) 1 fc 0.1 fc Readout information TOF: 14 bits, 10 ns TOF: 14 bits,10 ns 14 bits, 10 ns TOT: 8 bits, 10 ns TOT: 8 bits, 10 ns (TOF or TOT or Photon counter) ADC: 10-bit, 10MSps ADC: 10-bit, 10MSps None Readout speed 240 Mbps Mbps Readout mode Serial/Parallel Serial/Parallel Serial/Parallel Power/pixel mw (a) 150 mw 6.5 mw + 7 mw (b) (a) Both acquisition and readout state. CLK = 100 MHz and DCK =240 MHz (b) Acquisition state and Ref_CLK=80 MHz

40 まとめ 39 ADC, DAC を用いた様々なシステムを開発 ミリ波無線通信用高速データコンバータの研究 ヘルスケアシステム用アナログ回路技術の研究 粒子検出器ピクセル読み出し集積回路 (QPIX)

Microsoft PowerPoint - 光ネットワーク産業_ pptx

Microsoft PowerPoint - 光ネットワーク産業_ pptx 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると,

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

Microsoft PowerPoint - IEICE_matsu_ pptx

Microsoft PowerPoint - IEICE_matsu_ pptx アナログ RF CMOS 集積回路技術の 現状と今後の動向 -- ADC などのベースバンド回路を中心に -- 松澤昭 東京工業大学大学院理工学研究科 内容 60GHz ミリ波通信用 ADC 補間パイプライン型 ADCの提案と開発 ビット SAR ADCの開発 SAR ADCの開発課題 アナログ ADC 開発の今後 60GHz ミリ波通信用 ADC 従来のミリ波システム 3 006 年には GaAs

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt 超高速 低電力 ADC 松澤昭宮原正也 東京工業大学 28.96 A. 内容 2 はじめに 6bit 超高速 ADCの動向 8bit 以上の超高速 ADCの動向 まとめ 28.96 A. ADC 応用の例 :DVD システム 3 DVD DVDでは再生された信号をAD 変換して イコライザーや誤り訂正をデジタル技術で行うことで信号品質を上げる ワイアレスシステムも基本的には同じ波形等価誤り訂正 Variable

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

スライド 1

スライド 1 ミリ波帯における注入同期を用いた I/Q ミスマッチ補償手法 近藤智史, 河合誠太郎, 岡田健一, 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤 岡田研究室 発表内容 2 研究背景 目標 従来技術と課題 注入同期を用いた補償手法 理論検討 測定結果 結論 研究背景 3 Australia Canada, USA Japan Europe 57 Frequency [GHz] 66 59.4

More information

AN8934FA

AN8934FA BS +QPSK IC BS QPSK IC 4.5MHz L.P.F. 5.7MHz B.P.F. C/N 2 (75Ω ) 1 (75Ω ) PCM IC MN88831 1 (18.432MHz) BS 37 48 (14.25) 1 12.00±0.20 10.00±0.20 36 25 0.65 12 0.30 +0.10 0.05 Seating plane 24 13 (1.425)

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx 60GHz CMOS トランシーバーの開発 -- 無線を用いた超高速データ伝送の実現 -- 2013/1/23 松澤昭 東京工業大学大学院理工学研究科 内容 1 60GHz CMOS トランシーバの概要 60GHz CMOS RF 回路設計のポイント 超高速 低電力 ADC 2 60GHz CMOS トランシーバの概要 利用モデル 3 ギガビット機器間データ伝送の実現瞬時のデータトランスファーを狙い,

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

Tokyo Tech Template

Tokyo Tech Template 電子システム構築のための LSI 設計とアナログ技術 松澤昭 2014.01.30 東京工業大学大学院理工学研究科 Lab. Tokyo Institute & of Okada Technology Lab. 要旨 1 これまで日本の電子機器メーカが行ってきたことはデジタル化, 小型化 集積回路技術の向上でこれを達成 デジタル化が終了, 他分野の電子化などの発展ストーリが必要 アナログ (SoC)

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5

E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5 Agilent Technologies E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5dB レベル確度 フェージングシミュレーション Option404

More information

16-Bit, Serial Input Multiplying Digital-to-Analog Converter (Rev. B

16-Bit, Serial Input Multiplying Digital-to-Analog Converter (Rev. B DAC8811 www.tij.co.jp ± ± µ ± µ ± V REF CS Power-On Reset DAC8811 D/A Converter 16 DAC Register 16 R FB I OUT CLK SDI Shift Register GND DAC8811C ±1 ±1 MSOP-8 (DGK) 4to 85 D11 DAC8811ICDGKT DAC8811C ±1

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx アナログ技術の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 1 TV, VTRのデジタル化とアナログ技術 今日のアナログ技術の開発 松澤 岡田研究室の紹介 60GHz CMOSトランシーバの開発 ADC, アナログ回路開発の今後 今後の発展に必要なもの まとめ 2 これまでの40 年間日本の民生機器メーカが行ってきたことは電子機器のデジタル化, 小型化 デジタル化に伴い,ADCなどのアナログ技術も発展

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

AD

AD AD 1110800673 2015 2 25 1 1 1.1..................................... 1 1.2................................... 3 2 4 2.1....................... 4 2.2 TDC................................ 5 2.2.1.....................................

More information

untitled

untitled 慣性運動計測機器 クロ ス ボ ー株 式 会 社 Crossbow Japan Ltd. MEMS 3 1 X Y Z 3 RS-232 Windows Gyro-View Nav-View GPS NAV420 3 AHRS400 VG400 6 IMU400 (AHRS) TEL: 06-6489-5922 FAX: 06-6489-5910 E-MAIL: sales@xbow.jp WEB:

More information

Keysight MIMO MIMO Cluster n Path n σ n, AoA σ n, AoD Θ n, AoA MS/UE Array Boresight Rx0 Tx0 Θ n, AoD LOS BS Array Boresight Θ n+1, AoA Rx1 Tx1 Path n

Keysight MIMO MIMO Cluster n Path n σ n, AoA σ n, AoD Θ n, AoA MS/UE Array Boresight Rx0 Tx0 Θ n, AoD LOS BS Array Boresight Θ n+1, AoA Rx1 Tx1 Path n Keysight MIMO MIMO Cluster n Path n σ n, AoA σ n, AoD Θ n, AoA MS/UE Array Boresight Rx0 Tx0 Θ n, AoD LOS BS Array Boresight Θ n+1, AoA Rx1 Tx1 Path n+1 Cluster n+1 ... 3 1. MIMO... 3 1.1 MIMO 1.2 MIMO

More information

????????????MUX ????????????????????

????????????MUX ???????????????????? PGA116 PGA112 PGA113 PGA117 PGA112, PGA113 PGA116, PGA117 www.tij.co.jp µµ µµ ± µ +5V +3V AV DD 1 C BYPASS.1µF DV DD C BYPASS.1µF C BYPASS.1µF V CAL/CH CH1 3 2 1kΩ MUX CAL1 PGA112 PGA113 R F 1 Output Stage

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

Microsoft Word - TokyoTechPR _Masu_web.doc

Microsoft Word - TokyoTechPR _Masu_web.doc 平成 27 年 2 月 20 日 報道機関各位 東京工業大学広報センター長 大谷 清 高周波無線給電型の超低電力無線機で多値変調を実現 要点 5.8GHz 帯 113μW で動作する無線送信機に 多値変調を適用 直交バックスキャッタリング回路 により 32QAM,2.5M ビット / 秒を実現 無線機は高周波無線給電技術で生成した電源により動作 概要 東京工業大学フロンティア研究機構の益一哉教授と精密工学研究所の伊藤浩之准教授

More information

3-D Unitary ESPRIT 2 BS(Rx) 120 MS(Tx) A/D ( ) ( ) 2 2 λ/ 3 λ 5.85[GHz] 20 500[kHz] 9.5[MHz] 12bit 20Ms/s 60[deg] 100[ns] Rb atomic osc. 10MHz IF Local 880MHz RF Local 4970MHz 2 way Power Splitter RF

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

12 Series PM-12 INTEGRATED AMPLIFIER SA-12 SUPER AUDIO CD/CD PLAYER a heritage of innovation

12 Series PM-12 INTEGRATED AMPLIFIER SA-12 SUPER AUDIO CD/CD PLAYER a heritage of innovation 12 Series PM-12 INTEGRATED AMPLIFIER SA-12 SUPER AUDIO CD/CD PLAYER a heritage of innovation journey never ends D A C Marantz Musical Mastering Hi-Fi 10 10 12 DAC 12 12 10 12 because music matters. INTEGRATED

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

LEPS

LEPS LEPS2 2016 2 17 LEPS2 SPring-8 γ 3 GeV γ 10 Mcps LEPS2 7 120 LEPS Λ(1405) LEPS2 LEPS2 Silicon Strip Detector (SSD) SSD 100 µm 512 ch 6 cm 3 x y 2 SSD 6 3072 ch APV25-s1 APVDAQ VME APV25-s1 SSD 128 ch

More information

開発の背景 2020 年の東京オリンピック パラリンピックに向け 第 5 世代移動通信システム (5G) の実用化を目指した研究開発が活発化している この背景には スマートフォンやタブレット端末の普及に伴い 高精細動画サービスなどによるデータ通信量が急激に増大していることや IoT( モノのインター

開発の背景 2020 年の東京オリンピック パラリンピックに向け 第 5 世代移動通信システム (5G) の実用化を目指した研究開発が活発化している この背景には スマートフォンやタブレット端末の普及に伴い 高精細動画サービスなどによるデータ通信量が急激に増大していることや IoT( モノのインター 平成 30 年 6 月 8 日 報道機関各位 東京工業大学広報 社会連携本部長佐藤勲 5G 向けミリ波無線機の小型化に成功 - 安価な集積回路で実現 スマホ搭載に最適 - 要点 世界初の 移相方式による 28GHz 帯 5G 向けフェーズドアレイ無線機を開発 安価で量産可能なシリコン CMOS 集積回路チップにより実現 毎秒 15 ギガビットの無線伝送に成功 概要 東京工業大学工学院電気電子系の岡田健一准教授らは

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

電子情報通信学会ワードテンプレート (タイトル)

電子情報通信学会ワードテンプレート (タイトル) 社団法人電子情報通信学会 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS 信学技報 IEICE Technical Report 補間技術とバックグランド補償技術を用いた 8-bit 600-MSps 並列型 ADC に関する研究 白戴和浅田友輔宮原正也松澤昭 東京工業大学電子物理工学専攻 152-8552

More information

pc725v0nszxf_j

pc725v0nszxf_j PC725NSZXF PC725NSZXF PC725NSZXF PC725 DE file PC725 Date Jun. 3. 25 SHARP Corporation PC725NSZXF 2 6 5 2 3 4 Anode Cathode NC Emitter 3 4 5 Collector 6 Base PC725NSZXF PC725YSZXF.6 ±.2.2 ±.3 SHARP "S"

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

QTC LSI Analog Timing Module QTC LSI

QTC LSI Analog Timing Module QTC LSI QTC chip CMOS 2006 1 27 QTC LSI Analog Timing Module QTC LSI QTC LSI QTC LSI Discriminator TDC PMT Signal Self Gate Q Charge and Discharge T Q T 電荷情報を時間に変換して TDC で AD 変換を行う QTC は内部にクロックを持たず Self gate で内部でタイミング信号を生成する

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

25 3 4

25 3 4 25 3 4 1 µ e + ν e +ν µ µ + e + +ν e + ν µ e e + TAC START STOP START veto START (2.04 ± 0.18)µs 1/2 STOP (2.09 ± 0.11)µs 1/8 G F /( c) 3 (1.21±0.09) 5 /GeV 2 (1.19±0.05) 5 /GeV 2 Weinberg θ W sin θ W

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

LTC 自己給電絶縁型コンパレータ

LTC 自己給電絶縁型コンパレータ AC 120V TECCOR 4008L4 OR EUIVALENT NEUTRAL 2N2222 HEATER 25Ω 150Ω 1k 1N4004 2.5k 5W 5.6V R1 680k 390Ω 100µF LE 47k C1 0.01µF ZC ZC COMPARISON > R = R O e B (1/T 1/T O ) B = 3807 1µF THERM 30k YSI 44008

More information

untitled

untitled S ANIRTAO ANIR 1. 1.1. HAWAII-2 Rockwell Scientific Company(RSC: Teledyne) HAWAII-2 HAWAII-2 20482048 HgCdTe HAWAII-2 1 HAWAII-2 1 1 HAWAII-2 Rockwell Parameter Measured Performance Units Detector Interface

More information

untitled

untitled LeCroy Technical Seminar WaveExpert April 13, 2005 LJDN-ST-WE-0204-0001 WaveExpert WaveExpert WaveExpert NRO WaveExpert LeCroy Japan, May 13, 2005 Page 2 Page 1 WaveExpert LeCroy Japan, May 13, 2005 Page

More information

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp)

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp) ,Q /Q Tiny Low Power Operational Amplifier with Rail-to-Rail Input and Output Literature Number: JAJS809 CMOS SOT23-5 CMOS LMC6482/6484 PHS (PDA) PCMCIA 5-Pin SOT23 CMOS 19940216 33020 23900 11800 2006

More information

CdTe γ 02cb059e :

CdTe γ 02cb059e : CdTe γ 02cb059e : 2006 5 2 i 1 1 1.1............................................ 1 1.2............................................. 2 1.3............................................. 2 2 3 2.1....................................

More information

LT 高信号レベル・アップコンバーティング・ミキサ

LT 高信号レベル・アップコンバーティング・ミキサ LT 高信号レベルアップコンバーティング ミキサ 特長 MHz RF RF IF IP 7dBm 9MHz dbm IF db RF LO dbm LO 二重平衡ミキサ イネーブル機能.V~.Vの単一電源電圧範囲 露出パッド付き ピン TSSOPパッケージ アプリケーション CATV ダウンリンク インフラストラクチャ ワイヤレス インフラストラクチャ 高直線性ミキサ アプリケーション 概要 LT

More information

LM837 Low Noise Quad Operational Amplifier (jp)

LM837 Low Noise Quad Operational Amplifier (jp) Low Noise Quad Operational Amplifier Literature Number: JAJSBB7 600 Low Noise Quad Operational Amplifier 2000 8 Converted to nat2000 DTD ds009047tl/h/9047 33020 19860602 10 V/ s ( ); 8 V/ s ( ) 25 MHz

More information

catalog_kseries_0317.qxd

catalog_kseries_0317.qxd PHONO AUX TAPE D.Audio CD Player DP-K1000-N D-IN D-IN CD 1 / 2 / 3 Fs 44.1kHz, Fs 88.2kHz, Fs 88.2kHz, 16bits 24bits 24bits 20kHz 20kHz 40kHz DSP DIR Selector *Supreme EX Sampling rate A/D converter *Auto

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

1 SOI KEK _SSS14_XraySOI_v4.key

1 SOI KEK _SSS14_XraySOI_v4.key 1 SOI tsuru@cr.scphys.kyoto-u.ac.jp KEK 20140110_SSS14_XraySOI_v4.key l. X線CCD - スタンダード検出器 [Vol. 59, Suzaku すざく XIS 2 広視野(~20-30mm )かつ精密撮像 (~30μm ) ファノ極限の精密分光 (ノイズ ~3e- rms) 読み出しが遅い(~sec) パルサーやBHの高速現象が観測できない

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

NJW4124 IC ( ) NJW4124 AC-DC 1cell/2cell IC / 1 NJW4124M / Bi-CMOS NJW4124M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 V

NJW4124 IC ( ) NJW4124 AC-DC 1cell/2cell IC / 1 NJW4124M / Bi-CMOS NJW4124M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 V IC ( ) AC-DC 1cell/2cell IC / 1 M / Bi-CMOS M : DMP20 P-CHG 1 20 Q-CHG NFB 2 19 CS1 TX-SW 3 18 CS2 GND 4 17 VS PC 5 16 VREF ADP 6 15 V + 7 14 TDET 8 13 TH C1 9 12 TL C2 10 11 CHG-SW M - 1 - (Ta=25 C) V

More information

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc ワイヤレス LAN & Bluetooth モジュール評価ボード ( for WYSBMVGX4 / WYSBMVGX4-I / WYSBMVGXB ) この評価ボードは 実験検証用であり 品質を保証するものではありません また 評価ボードに使用している回路や部品 ソフトウェアは最新の物ではないことがあります 1/16 注意 : このモジュールは 日本の輸出管理下にあるデバイスドライバが必要です お客様の国やアプリケーション

More information

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to- General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 358 LMV358/324 LM358/324

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information