モデルベースデザイン(MBD)を始めましょう!

Size: px
Start display at page:

Download "モデルベースデザイン(MBD)を始めましょう!"

Transcription

1 モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1

2 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2

3 はじめに スマートシステムの増加 ソフトの高機能化 モデルベースデザイン の 重要性が高まっている 最近 モデルベースデザイン ってよく聞きませんか? なぜ多くの企業でモデルベースデザインの適用がはじまった? 本講演では制御設計を行うに上で実際に起こりうる課題を元に モデルベースデザインがどう機能していくのかを説明させていただきます 3

4 ユーザー事例 ミツバ リバーシングワイパーシステムの開発を加速 課題 革新的なリバーシングワイパーシステムコントローラーの設計と実装ソリューション ソリューション MathWorks のモデルベース開発ツールを使用した 制御システムのモデリング シミュレーション 検証 および量産コードの生成 結果 ある特定の機能開発において 期間を16 週間から3 週間に短縮 設計審査期間と紙文書を 90% 削減 設計の早期検証とやりなおし作業の最小化 リバーシングワイパーシステムのコントローラー モデルベース開発を採用したことにより 当社の開発プロセスに即時に改善が見られました デザインレビューのスピードが上がり 欠陥や要求仕様における問題点の発見がより効率的になったのです エラーを早期に発見し やりなおし作業を減らすことで 高品質のコントローラーを以前の 2 割の時間で完成することができました " 株式会社ミツバ電子技術部研究員新井貴男 4

5 ユーザー事例 Tata Motors チャレンジ Brand-New なクルマ (TATA Nano) のエンジンマネジメントシステム (EMS) を 厳しい日程と少ない予算で開発しなければならない ソリューション MathWorks のツールを用いたモデルベースデザインを適用しながら モデリング シミュレーション コード生成 プロトタイプの制御システムを開発する 結果 高価なセンサーを 2 つ排除できた 数週間かかっていた検証のサイクルが 数時間に サプライヤ選定前に プロトタイプ制作と要求の確認を実施 MBD でのプロトタイピングは必要 不可欠であることを証明しました モデリング シミュレーション テ ストの工程において 設計変更が容 易に行え 実際のモノを作る前にシ ステム全体の挙動を予測することが できるようになりました 事前にこ の予測が出来るおかげで コント ローラーやエンジン また Nano 自 体の設計を作り込むことができたの です The Tata Nano S. Govindarajan Tata Motors 5

6 Medrad 社は MathWorks のツールにより MRI 血管造影剤注入ポンプの安全性を確保 課題患者への薬剤の安全レベルを管理する MRI 血管造影剤注入ポンプの設計 ソリューションポンプの圧力センシング技術の改善に MathWorks のツールを使用 結果 設計期間を数か月短縮 栄誉ある産業賞を受賞 FDA の認可を獲得 Link to user story Medrad 社の Spectris Solaris 造影剤注入システム MathWorks ツールにより シ ステムレベルでコンポーネント 間の相互作用を理解することが 可能となり 物理特性をモデル 化し ポンプの安全限界を非常 に効率的かつ迅速に決定するこ とができました John F. Kalafut Medrad 6

7 モデルベース開発の利点 ( お客様講演から ) MBD 利点のまとめ 修正ループの短縮や情報伝達のオーバヘッド低減の他にも利点がある 1. 改善ループを早く回すことができる 実機を使わず設計検討が何回でもできる サプライヤを巻き込んだソフト試作を必要としない (VVEL 制御の適用事例 ) 2. 情報伝達の付帯作業や解釈ミスを減らせる モデルが設計情報の媒体となる ( 制御の図面化 ) 3. 早く 正しく制御設計ができる 制御開発に特化したツールを活用できる (Sliding Mode A/F Feedback 制御の適用事例 ) 4. 品質が安定する Quality Gate の通過条件が定量的になる 1 JMAAB Open Conference NISSAN MOTOR CO., LTD. 2009/10/27 日産自動車 柿崎様 : JMAAB Open Conference

8 モデルベース開発の利点 ( お客様講演から ) MBD 応用開発のメリット 従来 評価計画 評価準備 プログラム 評価準備 評価 車両評価へ 現在 評価計画 車両評価へ VRS+RPC でのシステム制御開発例 研究 先行開発では 開発時間が従来の 1/5 程度に短縮 1. 適合環境が極めて短時間で構築可能 2. 再利用性が高く 他プロジェクトの成果を展開可能 3. 再現性が極めて高く 相対評価指標として活用可能 但し 車両として実走するにはまだたくさんの課題が 2007/11/1 JMAAB Open Conference All Rights Reserved by JMAAB 1 ヤマハ発動機 迫田様 : JMAAB Open Conference

9 ところで どんな課題をお持ちでしょうか? 制御設計を行う上で 様々な課題をお持ちではありませんか? 要求仕様に関する課題? 実機に関する課題? 実機テストに関する課題? 実機テスト実現への課題? 制御モデル実装への課題? 実制御器の検証の課題? 9

10 制御設計における課題要求仕様に関する課題 要求を上手く伝えるのが難しく 期待した物と違うものができてしまう 要求仕様が曖昧で 妥当性があるのかわからない 妥当性を有する要求仕様を正しく伝えることへの課題 10

11 制御設計における課題実機に関する課題 求められる実機の性能が明確になっていない 実機 試作ができるまで実機テストができない! 実機を適切に素早く用意することへの課題 変更のたびに試作を作るとお金がかかる 11

12 制御設計における課題実機テストに関する課題 ちょっとした確認のたびに 実機テストの準備は大変 テスト毎の条件合わせが難しい 正しく動かすための制御パラーメータの調整が大変? 時間がかかる 実機 手作業ならではのテスト実施への課題 テストによっては実機を壊してしまうかもしれない 12

13 制御設計における課題 妥当性を有する仕様を正しく伝えることへの課題 紙の仕様書から動く仕様モデルへ 実機を適切に素早く用意することへの課題 実機 手作業ならではのテスト実施への課題 仮想環境に実機のモデルを用意しましょう 仮想テスト ( シミュレーション ) を行いましょう 13

14 仮想環境での制御設計及びシミュレーションテスト Model In the Loop Simulation MILS 要求仕様 モデリング制御設計 紙の仕様書から動く仕様モデルへ 制御モデルを用いて実機モデルを動かす 仮想環境 実環境 制御モデル シミュレーション 仮想実験実機モデル ( シミュレーション ) を行う仮想環境に実機のモデルを用意 実機 14

15 仮想環境での制御設計及びシミュレーションテスト Model In the Loop Simulation MILS 仮想環境 制御モデル シミュレーション 実機モデル 仮想環境でのシミュレーションによる検証で以下が可能になります 動く仕様により : 要求仕様の妥当性検証又は妥当なアルゴリズムの検討 実機レスにより : 時間 コストの削減 実機モデルでのシミュレーションテストにより : 気軽にテストを実施 再現性の高い繰り返しテスト 実機では難しい異常状態 ( フェール等 ) 用ロジックの検討 自動化や各種ツール利用により : 各種パラメータの素早い決定 15

16 仮想環境での制御設計及びシミュレーションテスト Model In the Loop Simulation MILS 仮想環境でのシミュレーションによる検証において以下のソリューションをご紹介しました 妥当性を有する仕様を正しく伝えることへの課題 実機を適切に素早く用意することへの課題 実機 手作業ならではのテスト実施への課題 そうは言っても 細かい部分でのロジックの詰めや 制御パラメータなどの調整が必要 実機テストも行いたい 紙の仕様書から動く仕様モデルへ 仮想環境に実機のモデルを用意しましょう 仮想実験 ( シミュレーション ) を行いましょう 実機や環境のすべての振る舞いを仮想環境で表現するわけではない 16

17 制御設計における課題実機テスト実現への課題 すぐに実機を動かして確認したいんだけど 検討したロジックを実装したコントローラがまだ無い ロジックそのものが検討中であるため最終的なコントローラが未だ無い 使用するセンサ アクチュエータが固まっていないため 製品としてのコントローラの仕様が決まらない 専用ハードウェアに制御モデルを実装しましょう 17

18 専用ハードウェアと実機を使用した制御設計及び検証 Rapid Controller Prototyping RCP 要求仕様 制御設計 専用ハードウェアとは? 仮想環境 シミュレーション リアルタイム OS を適用した潤沢なプロセッサーパワーと汎用的な各種 I/O を有するシミュレータ 専用ハードウェアに制御モデルを実装実機モデル 実環境 C 実機での検証 専用ハードウェア 実機 18

19 専用ハードウェアと実機を使用した制御設計及び検証 Rapid Controller Prototyping RCP 実環境 C 実機での検証 専用ハードウェア 実機 専用ハードウェアと実機での検証で以下が可能となります 専用ハードウェアにより : 検証中のロジックで素早く実機を動かす 実際に必要となるI/Oの検討 実機での検証により : 細かい部分を含めたロジックの妥当性検証及び修正 制御パラメータの決定 19

20 専用ハードウェアと実機を使用した制御設計及び検証 Rapid Controller Prototyping RCP 専用ハードウェアと実機での検証において以下のソリューションをご紹介しました ロジックそのものが検討中であるため最終的なコントローラが未だ無い 専用ハードウェアに制御モデルを実装しましょう 制御ロジックの検証は完了したので 検証によって固まった制御モデルを実際の制御器に実装する必要があります 制御モデルを実制御器に実装したい 20

21 制御設計における課題制御モデル実装への課題 検証を行った制御ロジックを実制御器用に実装したいんだけれど コーディングに時間がかかる デバッグ作業が大変 人による品質 表現のばらつきがある 人の工数や人によるエラー人毎のばらつきが課題 モデル誤解釈の可能性がある 自動コード生成を行いましょう 21

22 製品コードの自動生成と実装 Product Code Generation PCG 要求仕様 制御設計 仮想環境 C 制御モデル 自動コード生成 制御対象モデル 実環境 C 001 実制御器 制御対象 22

23 製品コードの自動生成と実装 Product Code Generation PCG 仮想環境 実環境 制御モデル 自動コード生成 C 自動コード生成により以下が可能となります コーディング時間の削減 デバック作業の削減 人による品質 表現ばらつきの低減 モデルとソフトの乖離 誤解釈の防止 001 実制御器 23

24 製品コードの自動生成と実装 Product Code Generation PCG 実制御器に対する製品コード生成において以下のソリューションをご紹介しました 人の工数や人によるエラー人毎のばらつきが課題 自動コード生成を行いましょう 実制御器に対する製品コード生成が完了したので 実 I/O を使用した実制御器の確認を行いたい 24

25 制御設計における課題実制御器の検証の課題 実 I/O を使用した実制御器の確認を行いたいんだけれど これを実機でやろうとすると 異常状態の環境をつくるのが難しい 実機を壊すわけにはいかない 現実に起こりうる異常状態の再現や その確認を安全に行うことへの課題 実機で行うと危険な試験もある 実機シミュレータ使いましょう 25

26 実機シミュレータでの制御器検証 Hardware In the Loop Simulation HILS 要求仕様 制御設計 仮想環境 制御モデル C 制御対象モデル 実環境 001 実制御器 C 実機シミュレータでの検証 専用ハードウェア実機シミュレータ 26

27 実機シミュレータでの制御器検証 Hardware In the Loop Simulation HILS 実環境 実機視シミュレータでの検証 実制御器 実機シミュレータ 実制御器と実機シミュレータでの検証で以下が可能となります 実 I/Oまたはそれに近い環境での検証 異常状態の検証が容易に行える 実機を壊す心配が無い 危険な検証も安全に行える 27

28 実機シミュレータでの制御器検証 Hardware In the Loop Simulation HILS 実 I/O を使用した実制御器の確認において以下のソリューションをご紹介しました 現実に起こりうる異常状態の再現や その確認を安全に行うことへの課題 実機シミュレータ使いましょう 実 I/O を使用した実制御器の確認が出来たので 後は実製品の検証 適合となります 28

29 おさらい 要求仕様に関する課題 実機に関する課題 実機テストに関する課題 実機テスト実現への課題制御モデル実装への課題実制御器の検証の課題 紙の仕様書から動く仕様モデルへ 仮想環境に実機のモデルを用意しましょう 要求仕様 モデリング 実製品の検証 適合 仮想実験 ( シミュレーション ) を行いましょう 組込コード静的検証 実機シミュレータを使いましょう 専用ハードウェアに制御モデルを実装しましょう C コード PCG 自動コード生成を行いましょう 29

30 モデルベースデザイン どこから始めるべき? まずはモデリング MILS から始めましょう モデルベース からも分かるとおり ここで作成されるモデルがプロセス後工程にも重要な役割を持ってきます 要求仕様 モデリング 組込コード静的検証 実製品の検証 適合 C コード PCG 30

31 なぜマスワークスなのか? 各プロセスにおいて適切なソリューションのご提案が可能 Simulink を中心としたプラットホーム上でプロセスを進められます Simulink Stateflow Simulink Control Design Control System Toolbox Simulink Design Optimization Model Predictive Control Toolbox Robust Control Toolbox Fuzzy Logic Toolbox Neural Network Toolbox Simscape SimMechanics SimDriveline SimHydraulics SimElectronics SimPower Systems System Identification Toolbox Real-Time Windows Target Simulink Real-Time Simulink Coder MATLAB Coder Fixed-Point Designer Embedded Coder Simulink PLC Coder HDL Coder 要求仕様 モデリング C コード PCG Simulink Verification and Validation Simulink Design Verifier 組込コード静的検証 実製品の検証 適合 31

32 関連講演 ( 基礎 / 入門編 ) のご紹介 A2 14:20-14:50 Simulink 入門 MathWorks Japan 宅島章夫 A3 15:10-15:30 Stateflow 入門 MathWorks Japan 山本順久 要求仕様 モデリング 実製品の検証 適合 A4 16:00-16:30 物理モデリングツールによるプラントモデリング入門 MathWorks Japan 張莉 A5 16:50-17:10 モデルベース制御設計入門 ~ 制御設計をよりスムーズに ~ MathWorks Japan 新井克明 組込コード静的検証 A6 17:30-18:00 Simulink のバーチャル環境を現実の世界へ MathWorks Japan 村上直也 C コード PCG 32

33 まとめ モデルベースデザインがどのようなものかを ご理解いただけたでしょうか なぜ多くの会社で適用され始めた理由を感じていただけたでしょうか? 本講演で上げた課題とその解決において 皆様が開発のお仕事を進める上で共感いただける部分がありましたら幸いです 他の講演でモデルベースデザイン各フェーズにおける具体的な技術的ソリューションをご紹介しておりますので ぜひ参考にしてください 33

34 モデルベースデザインを効率よく進めるために モデルベースデザインを効率よく進めていく上で まず弊社のトレーニングを受講していただくことが成功への近道となります 本日 弊社トレーニングコースを紹介させていただくブースを用意させていただいていますのでぜひともお立ち寄りください ( 各種トレーニングテキストを閲覧いただけます ) 受講者の声 : - 講習の内容は座学と実習がちょうどよいバランスで楽しみながら学ぶことができました - Simulink の使い方が基礎から説明されていて とても良かったです はじめて Simulink に触れる人には おすすめできる内容だと思いました - 今回の講義を通して はじめて MATLAB と Simulink について触れる機会となりましたが 大変分かりやすい説明と講義資料により 着実に理解しながら進めることができました 詳しくはコチラをご覧ください トレーニングコース一覧 34

35 関連トレーニングコース MATLAB 関連基礎 MATLAB 基礎応用 MATLAB によるデータ処理と可視化 MATLAB のプログラミング手法 MATLAB の最適化手法 MATLAB と Simulink による制御設計 Simulink 関連コース名 MATLAB/Simulink によるモデルベース開発基礎 Simulink 基礎応用 SimPowerSystems による電力系統の物理モデリング Simulink への外部コードの取り込み Simscape によるマルチドメインシステムの物理モデリング SimMechanics による力学系の物理モデリング専門 Simulink モデルの検証と妥当性確認 Simulink モデルの管理 Stateflow 関連基礎 Stateflow 基礎コード生成関連基礎リアルタイムコードの生成およびテストの基礎専門 Embedded Coder による量産向けコード生成 Polyspace 関連専門 Polyspace によるコード検証 期間 3 日期間 1 日 2 日 1 日 2 日期間 2 日期間 2 日期間 1 日 1 日 1 日 1 日期間 1 日 2 日期間 2 日期間 1 日期間 3 日期間 2 日 35

36 ご清聴ありがとうございました 引き続き他の講演もお楽しみください 2014 The MathWorks, Inc. MATLAB and Simulink are registered trademarks of The MathWorks, Inc. See for a list of additional trademarks. Other product or brand names may be trademarks or registered trademarks of their respective holders. 36

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

Tech Info share 2015 Q2 CDA Team 2

Tech Info share 2015 Q2 CDA Team 2 制 御 モデルを 活 用 した 量 産 / 組 み 込 みソフト 開 発 ソリューション MathWorks Japan アプリケーションエンジニアリング 部 ( 制 御 ) シニアアプリケーションエンジニア 山 本 順 久 2015 The MathWorks, Inc. 1 はじめに: 本 講 演 でお 話 しする 内 容 Simulinkモデルを 用 いた 量 産 / 組 み 込 み 制 御

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

物理モデリングツールによる モデリングとシミュレーション入門

物理モデリングツールによる モデリングとシミュレーション入門 Simscape TM による物理モデリング入門 ~Simscape + Sim シリーズの紹介 ~ MathWorks Japan アプリケーションエンジニア 渡辺修治 基本ツール MATLAB Simulink Stateflow 便利ツール Simulink Coder TM Simulink Control Design TM Simulink Design Optimization TM

More information

HEVの車両全体シミュレーションによるシステム最適化

HEVの車両全体シミュレーションによるシステム最適化 HEV の車両全体シミュレーションによるシステム最適化 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2016 The MathWorks, Inc. 1 マルチモードハイブリッド自動車 Engine Mode EV Mode SHEV Mode 1. Higuchi, N., Sunaga, Y., Tanaka, M., Shimada, H.:

More information

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx JAMA 電子情報フォーラム 2018 デジタルエンジニアリング プロセスの 一般社団法人 適用範囲拡大 電子制御情報の交換 本 動 業会 電子情報委員会デジタルエンジニアリング部会電子制御情報の交換タスクタスクリーダー : 菊地洋輔 2018 年 2 月 16 日 目次 1 活動の背景 2 活動のゴール 進め方 3 成果目標 4 活動計画 5 2017 年度の取り組み 6 2018 年度以降の取り組み

More information

MAAB でのプレゼンテーション に関する提案

MAAB でのプレゼンテーション に関する提案 J-MAAB 2005 10 27 (1) OBD (2) (3) (4) OEM (5) MAAB J-MAAB 1. MBD (Model-Based Development) MBD Process 2. MAAB MAAB 3. J (Japan) -MAAB J-MAAB 4. J-MAAB WG WG 5. MBD Virtual World *SILS Rapid *HILS Real

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information

Presentation Title

Presentation Title 介護 福祉 医療機器開発におけるモデルベースデザイン MathWorks Japan Consulting Service 鳥居荘太 2016 The MathWorks, Inc. 1 MBD 成熟度 はじめに 本セッションのフォーカス MBD の効果確認済みのお客様 これから MBD を始めるお客様 すでに MBD 導入済みのお客様 システム開発経験有り / 無し 時間 2 アジェンダ 1. ソフトウェア開発と認証

More information

DesignRangeCheckExpr Insertion Discussion

DesignRangeCheckExpr Insertion Discussion C プログラマーのための Simulink 活用講座 ~Simulink を使った開発に迷うエンジニアへ ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア渡辺修治 2012 The MathWorks, Inc. 1 ショータくんは 何に悩んでいるの? 制御ロジックを理解したいけど データーフローが見にくい コードの全体像が把握できない ショータくん

More information

MATLAB®製品紹介セミナー

MATLAB®製品紹介セミナー MATLAB における分類 パターン認識 - 入門編 - MathWorks Japan アプリケーションエンジニアリング部 ( テクニカルコンピューティング部 ) アプリケーションエンジニア大開孝文 2012 The MathWorks, Inc. 1 アジェンダ 回帰モデルと分類モデルについて 分類手法を使ったワインの品質モデリング まとめ 2 分類手法を使ったワインの品質モデリング アプローチ

More information

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望 MATLAB/Simulink を利用したモデルベース開発のトレンドと将来展望 2009 年 5 月 21 日 ( 木 ) 2009 CYBERNET SYSTEMS CO.,LTD. All Rights Reserved. アジェンダ はじめに The MathWorks MATLABプロダクトファミリ 自動車業界での利用 モデルベース開発 モデルベース開発とは 導入効果 開発プロセスのトレンド

More information

Multi-konzeptionelle Verwendung von Low-Cost Hardware in der Lehre

Multi-konzeptionelle Verwendung von Low-Cost Hardware in der Lehre 開発プロセスにおける制御試験入門 MathWorks Japan アプリケーションエンジニアリング部制御 岩井理樹 2015 The MathWorks, Inc. 1 本講演の対象者 & メッセージング 対象者 ( 下記の初心者の方々が主に対象となります ) あまり Simulink に馴染みのない方 Simulink で廉価版ハードウェア実装をされていない方 MATLAB /Simulink で設計したアルゴリズムの動作検証を実機で確認されたい方

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1

新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1 新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1 自己紹介 MathWorks 照井雄佳 ヤマハ発動機迫田茂穂様 トレーニングエンジニア 5 年間 ヤマハ発動機の新入社員教育を担当 研究職 ( システム領域 ) MathWorks と社内向け制御教育の構築 2 本日 伝えたいこと

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

PowerPoint Presentation

PowerPoint Presentation Simulink +Unreal Engine 連携による ADAS/ 自動運転シミュレーション環境 MathWorks Japan アプリケーションエンジニアリング部宮川浩 2015 The MathWorks, Inc. 1 Session Key Take Away MathWorks は 自動運転システム開発に必要な フルビークルモデルを提供します 3 自動車業界のメガトレンド Connectivity

More information

f3-power-train-simulation-mw

f3-power-train-simulation-mw 車両全体シミュレーションを活用しよう 電動パワートレインシステム開発を例題に MathWorks Application Engineering 宮川浩 2015 The MathWorks, Inc. 1 電動パワートレインの選択 モータは一つ パラレルハイブリッド モータをどこに置くのがベストでしょうか? 燃費がより良いのは? 加速性能がより良いのは? 3 電動パワートレイン比較結果 P0 P1

More information

Presentation Title

Presentation Title 次世代 Simulink が導入する 新 MBD 環境 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア山本順久 2012 The MathWorks, Inc. 1 これまでの歴史 5 年ぶりのメジャーバージョンアップ! 2002 R13 Simulink Stateflow 5.0 2004 R14 Simulink Stateflow 6.0

More information

Adobe Acrobat DC 製品比較表

Adobe Acrobat DC 製品比較表 X X Adobe, the Adobe logo, Acrobat, the Adobe PDF logo, Creative Cloud, and Reader are either registered trademarks or trademarks of Adobe Systems Incorporated in the United States and/or other countries.

More information

プロジェクトを成功させる見積りモデルの構築と維持・改善 ~CoBRA法による見積りモデル構築とその活用方法について~

プロジェクトを成功させる見積りモデルの構築と維持・改善 ~CoBRA法による見積りモデル構築とその活用方法について~ 工数見積り手法 CoBRA ~ 勘 を見える化する見積り手法 ~ CoBRA 研究会 2011 年 5 月 情報技術研究センターシステム技術グループ Copyright 2011 MRI, All Rights Reserved ご紹介する内容 1.CoBRA 法の概要 2.CoBRAツール 3.CoBRAモデルでの見積り 4.CoBRAモデルの応用 5.CoBRAモデルの構築 6. まとめ 2 Copyright

More information

054_10モデルベースデザイン

054_10モデルベースデザイン 技術紹介 モデルベースデザインによる制御設計 石田修一 Ishida Shuuichi *1 近年 制御システムは高機能化や大規模化が急速に進み 従来の開発手法では開発費用の増加 開発期間の拡大 および品質の確保が困難となった 対して顧客からは 開発期間の短縮やコストダウンへの強い要求がある この現状を改善する方法として モデルベースデザイン (MBD:Model-Based Design) が自動車分野

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

MATLAB EXPO 2014 Dry Run 0 モデル検証&Polyspace

MATLAB EXPO 2014 Dry Run 0 モデル検証&Polyspace Polyspace によるソフト不具合修正のフロントローディング MathWorks Japan Application Engineering アプリケーションエンジニアリング部 Application Engineer アプリケーションエンジニア Fred Noto 能戸フレッド 2014 The MathWorks, Inc. 1 Polyspace の静的解析ソリューション Polyspace

More information

(Microsoft PowerPoint - - ver3.pptx)

(Microsoft PowerPoint - - ver3.pptx) C-2 Inventor チューブ & パイプ活用による業務改善への取り組み 梶原工業株式会社 設計部 : 阿部和明 上山学 September 8, 2016 目次 梶原工業 ( カジワラ ) の紹介 改善への取り組み 問題点 3D CAD 活用への取り組み チューブ & パイプの活用による効果 まとめ カジワラの所在地 3 食品加工用加熱撹拌機 煮炊撹拌機 レオニーダー 加熱 冷却乳化機 クッキングミキサー

More information

untitled

untitled http://www.riskdatabank.co.jp The of Japan, Ltd. All rights reserved. 2 The of Japan, Ltd. All rights reserved. 3 The of Japan, Ltd. All rights reserved. 4 The of Japan, Ltd. All rights reserved. 5 The

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SPI Japan 2012 車載ソフトウェア搭載製品の 機能安全監査と審査 2012 年 10 月 11 日 パナソニック株式会社デバイス社 菅沼由美子 パナソニックのデバイス製品 SPI Japan 2012 2 パナソニック デバイス社のソフト搭載製品 車載スピーカーアクティブ消音アクティブ創音歩行者用警告音 スマートエントリー グローバルに顧客対応 ソフトウェア搭載製品 車載 複合スイッチパネル

More information

モータ・モーション制御MBD実践 ~組み込みコード生成編~

モータ・モーション制御MBD実践 ~組み込みコード生成編~ モーター モーション制御モデルベースデザイン実践 プラントモデリング 編 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア福井慶一 2013 The MathWorks, Inc. 1 モーター モーション制御とは? 負荷の動き ( 速度 位置 ) を意図した通りに制御 駆動回路モーター負荷 熱 制御信号 制御 モーター制御 制御指令 モーターの状態 (

More information

目次 ペトリネットの概要 適用事例

目次 ペトリネットの概要 適用事例 ペトリネットを利用した状態遷移テスト 和田浩一 東京エレクトロン SDC FA グループ 目次 ペトリネットの概要 適用事例 ペトリネットの概要 - ペトリネットとは ペトリネット (Petri Net) とは カール アダム ペトリが 1962 年に発表した離散分散システムを数学的に表現する手法である 視覚的で 数学的な離散事象システムをモデル化するツールの一つである ペトリネットの概要 - ペトリネットの表記と挙動

More information

背景 1 / Reprinted with permission from paper c 2013 SAE International.

背景 1 / Reprinted with permission from paper c 2013 SAE International. 車載グラフィックメータ開発プロセス革新への挑戦 ~ REMO ZIPC による 3D HMI 開発事例 ~ 西川良一株式会社デンソー情報通信システム開発部 背景 1 / 17 2008 2009 2010 2011 2012 2013 Reprinted with permission from paper 2013-01 01-04250425 c 2013 SAE International.

More information

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~ MATLAB/Simulink による AMS 活用事例 ~Mixed-Signal Library 2.0 のご紹介 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア竹本佳充 2012 The MathWorks, Inc. 1 Agenda 1. AMS 設計活用事例 I. ミックスドシグナルトップダウン設計 II. MATLAB/Simulinkの導入メリット

More information

プロダクトオーナー研修についてのご紹介

プロダクトオーナー研修についてのご紹介 情報種別 : 重要会社名 : 株式会社 NTT データ情報所有者 : 株式会社 NTT データ プロダクトオーナー研修についてのご紹介 株式会社 NTT データ 1 プロダクトオーナー研修概要実践シリーズ!! アジャイル開発上級 ~Scrum で学ぶ新規ビジネス サービス企画立案スキル ~ 研修概要 本研修は ビジネス環境の変化が早い時代においてお客様のニーズにより早く IT サービス システムを提供できる人材を育成するために

More information

PowerPoint Resources

PowerPoint Resources 2009 The MathWorks, Inc. ET ロボコンを通じた MBD 人材育成 MathWorks Japan アドバンストサポート部 ET ロボコン 2009 実行委員会技術委員 / 性能審査委員 近政隆 背景 (1) 自動車業界における MBD の浸透 JMAAB(Japan MATLAB Automotive Advisory Board) と MathWorks の協調による開発手法

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

スライド 1

スライド 1 ~MATLAB EXPO 2012~ スライディングモード制御の インクジェットプリンターへの適用 2012/10/30 ブラザー工業株式会社畠山雄一 MathWorks Japan 赤阪大介 2012 Brother Industries, Ltd. & The MathWorks, Inc. All Rights Reserved. 1 本講演の背景 提供している製品 技術の有用性を確認したい

More information

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074> SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

2016 Trademark Announcement -Wide-

2016 Trademark Announcement -Wide- ハードウェアインザループシミュレーション (HILS) による量産 / 試作コントローラのテスト MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) 2016 The MathWorks, Inc. 1 モデルベースデザインが高機能 多機能化する製品の迅速な開発をサポート シミュレーションを通した動作 性能検証とコード生成のテクノロジーを活用 シームレスな開発環境で素早い製品リリースを可能にします

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション タイトル 無 人 車 両 のモデルベース 開 発 プロセスの 紹 介 (Simulink+CANoeによる 車 両 シミュレータの 活 用 ) ヤマハ 発 動 機 株 式 会 社 技 術 本 部 イノベーション 研 究 部 知 的 システムグループ ASプロジェクト 藤 井 北 斗 目 次 プロジェクト 概 要 AUGVシステムの 説 明 車 両 シミュレータの 説 明 車 両 シミュレータとは?

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

スライド 1

スライド 1 14 th ESEC@ 東京国際展示場 ( ビッグサイト ) JMAAB(Japan Matlab Automotive Advisory Board) の活動 ~ モデルベース開発技術 の推進と人材育成 ~ 2011 年 5 月 11 日 大畠明 JMAAB トヨタ自動車株式会社 JMAAB とは? JMAAB (Japan MATLAB Automotive Advisory Board) は国内の自動車

More information

Microsoft PowerPoint _SIG-KST.pptx

Microsoft PowerPoint _SIG-KST.pptx シミュレーションを活用した業務プロセス改革における組織の問題要因の可視化手法の確立 米原章浩鈴木陽一郎 株式会社 日本海洋科学 シミュレーションを活用した業務改革の利点 場当たり的に とりあえずやってみる 業務改善活動では無駄が多く実効性も低い 費用幾らかかる? 使ったの? 比較他に良い対策はないの? 時間いつ終わるの? とりあえず やってみよう! 効果効果が事前で途中で見えない 根拠目的と対策の因果関係が不明確

More information

Using VectorCAST/C++ with Test Driven Development

Using VectorCAST/C++ with Test Driven Development ホワイトペーパー V2.0 2018-01 目次 1 はじめに...3 2 従来型のソフトウェア開発...3 3 テスト主導型開発...4 4...5 5 TDD を可能にするテストオートメーションツールの主要機能...5 5.1 テストケースとソースコード間のトレーサビリティー...5 5.2 テストケースと要件間のトレーサビリティー...6 6 テスト主導型開発の例...7 2 1 はじめに 本書では

More information

過去問セミナーTM

過去問セミナーTM ALTM 過去問題解説 May 22, 2017 JSTQB Technical Committee 委員長谷川聡 Agenda 試験問題の出題について K2 TM-4.4.1 欠陥マネジメント K3 TM-2.7.2 テストマネジメント K4 TM-2.3.3 テストマネジメント 勉強を進めていくにあたって 2 試験問題の出題について 学習の目的 (L.O) に従ってシラバスのそれぞれの課題を試験する

More information

Microsoft Visual Studio 2010 Professional Data Sheet

Microsoft Visual Studio 2010 Professional Data Sheet Microsoft Visual Studio 2010 Professional はビジネスの要件やユーザ ーのニーズに最適なアプリケーションを選択し それを構築するために必須の機能を提供します RIA ベースのリッチな Web アプリケーション SharePoint ベースの高度な Web ポータル Windows Azure ベースのクラウドアプリケーションなど 最新テクノロジに対応したアプリケーションを既存の知識や経験を活かして開発することができます

More information

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1 自習 & ハンズオントレーニング資料 System Recovery 18 ファイルのリストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス本部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく行われることもあります なお 当ドキュメントの内容は参考資料として

More information

CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 ISO26262 ISO26262 Contents ISO26262 ECU ECU Copyright Hitachi Automotive Systems, Ltd. All rights reserved

CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 ISO26262 ISO26262 Contents ISO26262 ECU ECU Copyright Hitachi Automotive Systems, Ltd. All rights reserved CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 2012-1 Contents ECU ECU 会社概要 日立の自動車機器事業は 1930 1930 年自動車用電装品の国産化から開始 2009 2009 年 7 月 : 日立製作所から分社 日立オートモティブシステムズ 商号 事業内容 代表者 日立オートモティブシステムズ株式会社 ( 英文名 :Hitachi Automotive

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

Microsoft PowerPoint - 配布用資料.ppt

Microsoft PowerPoint - 配布用資料.ppt ソフトウェア設計プロセスの改革 オブジェクト指向導入による 生産性の向上 SEIKO EPSON CORPORATION BS 事業部 2006 6 28 開発対象製品の紹介 セイコーエプソン株式会社 BS 事業部 BS 事業推進部 TM( ターミナルモジュール ) のファームウェア開発 ( レシートプリンタ ラベルプリンタの開発 ) 業務用小型プリンタのファームウェア開発 レシート ラベル チェック

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

Model-Based Calibration Toolbox 4.0

Model-Based Calibration Toolbox 4.0 Model-Based Calibration Toolbox 4.0 複雑なパワートレインシステムのキャリブレーション Model-Based Calibration ToolboxTM は 統計モデリングと数値最適化を使用して複雑なパワートレイン システムの最適なキャリブレーションを実行するための設計ツールを提供します 従来の手法では網 羅的なテストが必要となるような 複雑で自由度の高いエンジンに対して

More information

Presentation Title

Presentation Title モデルベースデザインではじめる自律型アームロボットの開発 導入 アプリケーションエンジニアリング部小林昇洋 2015 The MathWorks, Inc. 1 本セッションでお伝えしたいこと 自律ロボットシステムの開発のキーポイント : 1. マルチドメインシミュレーション 2. 複雑な開発要素をトータルでサポートする開発環境 3. モデルベースデザイン 2 自律ロボットシステムの開発における課題

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

スライド 1

スライド 1 講演資料 ABS/ESC 機能を対象とした Simscape を用いたプラントモデリング手法の紹介 6 月 27 日 ( 金 )@ 御茶ノ水ソラシティカンファレンスセンター 目次 1 /29 1. JMAABでの取り組みと目的 2. ABS/ESC 機能を実現するブレーキ油圧回路の概要 3. ブレーキ油圧回路のSimscapeを用いたモデル化 4. 車両モデルへの接続 5. まとめ 2 /29 1.JMAAB

More information

組込関連サービス

組込関連サービス プロダクトインフォメーション 目次 ベクターの技術コンサルティング 製品 エンジニアリングサービス... 3 特長... 4 応用分野... 4 AUTOSAR のトレーニング... 4 MICROSAR 入門 (Getting Started) パッケージ によるインストールとサポート... 5 MICROSAR V2G 入門 (V2G Getting Started) パッケージ によるインストールとサポート...

More information

ムラタ流MBD:エネルギーマネジメントシステム向け組み込み開発の事例

ムラタ流MBD:エネルギーマネジメントシステム向け組み込み開発の事例 ムラタ流 MBD エネルギーマネジメントシステム (EMS) 向け組み込み開発の事例 株式会社村田製作所 馬 躍 1 村田製作所について 村田製作所は 最先端の技術 部品を創出する総合電子部品メーカーです Innovator in Electronics をスローガンに掲げ 豊かな社会の実現をめざします ムラタの強み 最先端の材料を研究開発 広範囲な製品ラインナップ グローバルな生産 販売ネットワーク

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

/ , ,908 4,196 2, ,842 38, / / 2 33 /

/ , ,908 4,196 2, ,842 38, / / 2 33 / MathWorks Automotive Conference 2014 ( ) ECU 0.1. 1 /30 1949 12 16 1,874 4 959 2 4,908 4,196 2,993 139,842 38,581 62 26 35 56 / 6 185 13 4 3 11 / 2 33 / 2014 3 31 0.1. 2 /30 ETC 0.2. 3 /30 1. 1. 2. 2.

More information

AMS Expoコンテンツ

AMS Expoコンテンツ 高速伝送路での信号特性の解析 ~ 新しい MATLAB /Simulink の使い方 ~ MathWorks Japan アプリケーションエンジニアリング部初井良治 2014 The MathWorks, Inc. 1 Demo MATLAB/Simulink 高速伝送特性シミュレーション DFE CDR ビット生成プリエンファシス伝送路特性データ 8B10Bエンコーダ アナログイコライザ 8B10B

More information

PowerPoint Presentation

PowerPoint Presentation MATLAB による 大規模フリートデータ解析 アプリケーションエンジニアリング部齊藤甲次朗 2015 The MathWorks, Inc. 1 アジェンダ はじめに ビッグデータ解析の課題 MATLAB を活用したフリートデータ解析事例 フリートデータ解析実践 デスクトップでの解析 クラスターへのスケールアウト MATLAB 解析のシステムへの統合 まとめ 2 25 GB / 1hour 4 フリートデータ解析を含むビッグデータ解析の課題

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 復習 ) 時系列のモデリング ~a. 離散時間モデル ~ y k + a 1 z 1 y k + + a na z n ay k = b 0 u k + b 1 z 1 u k + + b nb z n bu k y k = G z 1 u k = B(z 1 ) A(z 1 u k ) ARMA モデル A z 1 B z 1 = 1 + a 1 z 1 + + a na z n a = b 0

More information

HILS実装のためのプラントモデリングツールの活用

HILS実装のためのプラントモデリングツールの活用 HILS 実装のためのプラントモデリングツールの活用 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) アプリケーションエンジニア新井克明 2016 The MathWorks, Inc. 1 ハードウェアインザループシミュレーション (HILS) 制御対象の振る舞いを模擬し 試作 量産コントローラの機能検証を行うアプローチ 実機を利用したテストよりも再現性高くテストシナリオの繰り返し実施が可能

More information

Microsoft PowerPoint - ID005(R02).pptx

Microsoft PowerPoint - ID005(R02).pptx ソフトウェアプロダクトラインにおける コア資産評価の仕組み確立 オムロンソフトウェア株式会社原田真太郎 筒井賢 オムロン株式会社赤松康至 2014 OMRON SOFTWARE Co., Ltd. ALL Rights Reserved 1 会社紹介 自動改札機 券売機等制御機器 FA システム等健康機器 オムロンソフトウェア株式会社 決済ソリューション 監視 運用サービスソリューション モバイルソリューション

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

Presentation Title

Presentation Title データの本質を読み解くための機械学習 MATLAB でデータ解析の課題に立ち向かう MathWorks Japan アプリケーションエンジニア部アプリケーションエンジニア井原瑞希 2016 The MathWorks, Inc. 1 Buzzwords IoT 人工知能 / AI データ解析 ビッグデータ 2 データ解析ワークフロー データへのアクセスと探索 データの前処理 予測モデルの構築 システムへの統合

More information

HIGIS 3/プレゼンテーション資料/J_WhiteA.ppt

HIGIS 3/プレゼンテーション資料/J_WhiteA.ppt JEITA 組込み系ソフトウェア ワークショップ 2009 日立グループにおける 組込みソフトウェア開発力強化の取組み 2009 年 10 月 20 日 ( 株 ) 日立製作所モノづくり技術事業部鍵政豊彦 toyohiko.kagimasa.uq@hitachi.com 1. 背景 1 1.1 組込みソフトウェア開発の課題 組込みソフトウェアの機能高度化 規模増大 複雑度の上昇 プログラムの実行可能なパスの数は規模に応じて組み合わせ的に増大

More information

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx MATLAB EXPO 2014 アルゴリズムと RTL を統合した 検証プラットフォームの 開発と適用 2014 年 10 月 29 日 NECプラットフォームズ ( 株 ) 福井祥布 MathWorks Japan 柴田克久 - アジェンダ - 1. はじめに NEC プラットフォームズ ( 株 ) の紹介 by 福井 モデルベースデザインによる FPGA/ASIC 開発 by 柴田 2. ソフトウエア無線の設計技術動向

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション GSN を応用したナレッジマネジメントシステムの提案 2017 年 10 月 27 日 D-Case 研究会 国立研究開発法人宇宙航空研究開発機構 研究開発部門第三研究ユニット 梅田浩貴 2017/3/27 C Copyright 2017 JAXA All rights reserved 1 目次 1 課題説明 SECI モデル 2 GSN を応用したナレッジマネジメントシステム概要 3 ツリー型チェックリスト分析

More information

Microsoft PowerPoint - 量産ソフト開発に向けてのモデルベースデザイン環境_final.pptx

Microsoft PowerPoint - 量産ソフト開発に向けてのモデルベースデザイン環境_final.pptx 量産ソフト開発向けのモデルベースデザイン環境構築 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア加藤久浩 2013 The MathWorks, Inc. 1 アジェンダ 1. はじめに 2. モデルアーキテクチャ 3. ( 例 ) ドアロック制御システム開発 4. モデルベースデザイン環境構築 5. まとめ 2 モデルベースデザイン (MBD) の理想的な姿

More information

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc.

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. ロボットビジョンとは ロボットに搭載することを目的としたコンピュータービジョン技術の一分野 標識認識などさまざまな環境下での物体認識や複雑なシーンの理解 未知の領域を探索する際にロボット自身の位置推定と地図作成(SLAM)

More information

<4D F736F F F696E74202D208CB48E7197CD8A7789EF F4882CC91E589EF8AE989E A2E B8CDD8AB B83685D>

<4D F736F F F696E74202D208CB48E7197CD8A7789EF F4882CC91E589EF8AE989E A2E B8CDD8AB B83685D> 数値解析技術と標準 (3) 数値解析の信頼性に関する標準 平成 24 年 9 月 21 日原子力学会 2012 秋の大会標準委員会セッション5( 基盤 応用専門部会 ) 独立行政法人原子力安全基盤機構原子力システム安全部堀田亮年 AESJ MTG 2012 Autumn @Hiroshima 1 シミュレーションの信頼性 WG 報告書の構成 本文 (118 頁 ):V&Vの構造案解説 A) V&V

More information

エンジン制御仕様・ ソフトウェア開発の進化

エンジン制御仕様・ ソフトウェア開発の進化 エンジン制御仕様 ソフトウェア開発の進化 2015 年 10 月 16 日 トヨタ自動車株式会社 上田広一 MATLAB EXPO 2015 本日の発表内容 背景 モデルベース開発 エンジン制御仕様 ソフトウェア開発の進化 これまで (R12.1 R2006b R2010b) これから (R2015a) その先 まとめ MATLAB EXPO 2015 2 本日の発表内容 背景 モデルベース開発 エンジン制御仕様

More information

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx PLCopen / OPC UA 通信仕様と PLCopen XML の紹介 ~PLC プログラムの生産システムエンジニアリング連携に向けて ~ Industrial Automation Forum - Forum 2015 PLCopen Japan Page 1 PLCopen の主な取り組み PLCopen は IEC 61131-3 プログラミングの普及促進と関連技術仕様の策定を う 営利団体

More information

PowerPoint Presentation

PowerPoint Presentation 第 18 回 Autodesk Moldflow ライブヘルプ What s New Autodesk Moldflow 2018 オートデスク株式会社 プロダクトサポート Autodesk Moldflow ライブヘルプ Web 会議システムによる 気軽に参加いただく 1 時間のサポートセッション 目的 多くのユーザ様を直接ヘルプできる 直接フィードバックを頂くことができる (Q&A セッション

More information

サイバネットニュース No.115

サイバネットニュース No.115 Fall 2005 no.115 C O N T E N T S 1 2 2 3 4 4 5 5 6 7 8 9 10-11 12-14 15 16 CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE BP 1 Just-in-TimeIT epiplex Epiance4.7 CAD/CAE ERPWindows

More information

スライド 1

スライド 1 SPI Japan 2013 in 東京 Software Product Line の実践 ~ テスト資産の構築 ~ 住友電工情報システム株式会社 QCD 改善推進部品質改善推進グループ服部悦子 2013.10.17 P.1/24 目次 1. テスト資産構築に至る背景 2. テスト資産の構築 ~ 自動テストの実現 ~ 3. 結果と評価 P.2/24 テスト資産構築に至る 背景 P.3/24 背景

More information

クラス図とシーケンス図の整合性確保 マニュアル

クラス図とシーケンス図の整合性確保 マニュアル Consistency between Class and Sequence by SparxSystems Japan Enterprise Architect 日本語版 クラス図とシーケンス図の整合性確保マニュアル (2011/12/6 最終更新 ) 1 1. はじめに UML を利用したモデリングにおいて クラス図は最も利用される図の 1 つです クラス図は対象のシステムなどの構造をモデリングするために利用されます

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

AutoCAD のCitrix XenApp 対応

AutoCAD のCitrix XenApp 対応 AutoCAD ニューテクノロジーセミナー AutoCAD の Citrix XenApp 対応 オートデスク株式会社伊勢崎俊明 Citrix 対応 AutoCAD 2012 とは オートデスクのデスクトップ製品用ライセンス方式 Flexera Software 社 FLEXnet テクノロジを利用 スタンドアロンライセンス 1 つのコンピュータに 1 つのラインセンスをインストール アクティベーション処理でライセンスを有効化

More information

CodeRecorderでカバレッジ

CodeRecorderでカバレッジ 株式会社コンピューテックス Copyright 2016 Computex Co.,Ltd. 2017.11 カバレッジ と 単体テスト カバレッジとは プログラムがどれだけ実行されているかを示す指標です プログラム全体に対して実行された比率をカバレッジ率で表します カバレッジの基準として 一般的にC0 C1が使われております C0カバレッジは 全体のうち何 % が実行されたかで求めます C1カバレッジは

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 ネットワークシステム B- 6-164 DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 早稲田大学基幹理工学研究科情報理工学専攻 1 研究の背景 n インターネットトラフィックが増大 世界の IP トラフィックは 2012

More information

タイトル

タイトル 生活支援ロボット HSR 向け 自律動作アプリケーション開発 アプリケーションエンジニアリング部木川田亘 2015 The MathWorks, Inc. 1 実演 :HSR による あいさつ アプリケーションの開発 2 アジェンダ 自律ロボット開発における MATLAB ROS を使った HSR との連携ワークフロー まとめ 3 アジェンダ 自律ロボット開発における MATLAB ROS を使った

More information

日経ビジネス Center 2

日経ビジネス Center 2 Software Engineering Center Information-technology Promotion Agency, Japan ソフトウェアの品質向上のために 仕様を厳密に 独立行政法人情報処理推進機構 ソフトウェア エンジニアリング センター 調査役新谷勝利 Center 1 日経ビジネス 2012.4.16 Center 2 SW 開発ライフサイクルの調査統計データ ソフトウェア産業の実態把握に関する調査

More information