35.STM32L4-Peripheral-SDMMC interface (SDMMC) Final_JP

Size: px
Start display at page:

Download "35.STM32L4-Peripheral-SDMMC interface (SDMMC) Final_JP"

Transcription

1 こんにちは そして [CLICK 1]STM32 SDMMC コントローラ モジュールのこのプレゼンテーションへようこそ CPU を SD カードや MMC カード または SDIO デバイスに接続するのに用いられるコントローラの主要な特徴を説明します 1

2 STM32 製品 [CLICK 1] に搭載されている SDMMC コントローラは マイクロコントローラをマルチメディアカード SD メモリカードと SDIO デバイスとの通信インタフェースを提供します このインタフェースは完全に可変です そして 外部メモリへ容易に接続できます そして より多くのストレージ ( メモリ ) が必要であるとき 大量の記憶容量を広げることができます メモリカードでインタフェースに必要とされるピン数を少なくできることは アプリケーションにとって大きな利点です [CLICK 2] SDMMC インタフェースのおかげで アプリケーションは外部 Flash メモリで高速読込み及び書込み動作を容易に管理することができます 2

3 STM32 に搭載された SDMMC コントローラは 強化されたデータ処理能力のための 1 ビットモード ( デフォルト ) 4 ビットモード および 8 ビットモードのデータ バス幅をサポートします SDMMC インタフェースは データ読み出し / 書き込みの期間に CPU に負荷をかけないために DMA と相互接続されています. [Click 1] SDMMC クロック ジェネレータは 初期設定フェーズのための最高 400kHz および高速なモードをサポートしているカードのための最高 50MHz の信号を生成できます 電力消費量を改善するために SDMMC コマンドとデータ バスがアイドルな時には SDMMC クロックは止めることができます. [Click 2] SDMMC コントローラは SD I/O モジュールとインタフェースをとることができます 高機能としては 読み出し待ちやサス 3

4 ペンド / 再開操作 標準機能としてはマルチバイト転送や 1 と 4 ビットモードの割込み信号です 3

5 SDMMC コントローラは カードでインタフェースに必要なすべての SD/SDIO と MMC 機能を提供する SD/MMC バス マスターです [ クリック 1] それは SDMMC アダプター および APB インタフェース から構成されます [ クリック 2] DMA と割込み要求信号と同様に SDMMC アダプター が APB インタフェース がコントロールとステータスレジスターと FIFO バッファを管理する間に クロック生成 コマンド データ転送のような機能を提供します [ クリック 3] 2 つのクロックが SDMMC コントローラで利用可能です APB インタフェース のための APB クロック (PCLK) と SDMMC アダプター のための SDMMC クロック (SDMMCCLK) です 4

6 SDMMC アダプタはコントロールユニットを持っています [Click 1] その中には パワーマネージメント機能用のモジュールとカードクロック (SDMMC_CK) 用のクロック分周期付きのクロックコントローラを含みます クロックコントロールモジュールは SDMMC_CK クロック生成用の 8bit のプリスケーラ (1/2SDMMCCLK も生成可能 ) を提供します それはまた 最大 50MHz 通信用のバイパスモードも提供します コントロールユニットはバスがアイドル状態の時に SDMMC_CK 生成を無効にできます 5

7 コマンドパス回路は コマンド / レスポンスシーケンスのプログラムを作るために使用されます 可能な場合には コマンドパスは SDMMC_CMD ピンからコマンドインデックスとアーギュメントをシフトアウトします 最後のペイロードビットが送られた後 終わりのビットを生成する前に バスには CRC7 が計算されて 送られます 反応が予期される時には コマンドパスは SDMMC_CMDin に設定されて デバイスの反応を待つ 6

8 コマンドのトランスミッションとレセプションは コマンドパスステートマシン (CPSM) によりコントロールされます [Click 1] コマンドまたはレスポンスが全く進行していない時には コマンドパスはアイドル状態にあります. [Click 2] CPSM がコマンドを送ることが可能になると コマンドパスは コマンドの最後のビットが送られるまで そして 期待されたレスポンスかどうかによって 送信状態に移行します [Click 3] どのレスポンスも 期待された [Click 4] ものではない時には CPSM はアイドル状態に戻るか またはコマンドピンのスタートビットのためにウェイト状態 および待ちに移行できます ( レスポンストランスミッションの開始 ) [Click 5] 割り当てられた時間期間以内にレスポンススタートビットが検出された時には CPSM は 受信状態に移行します. [Click 6] レスポンスの最後のビットを受け取った後に CPSM は 受信した CRC を使ってレスポンスの完全性を確認し それから 7

9 アイドル状態に戻ります [Click 7] もしレスポンススタートが検出されないならば CPSM は タイムアウトの後にアイドル状態に戻ります [Click 8] CPSM は 最終データ転送と同期したコマンドを送るように設定できます この機能が可能な時には CPSM は保留の状態に移行し MMC ストリーム転送の終わりを待ちます [Click 9] 最後のデータ信号がデータパスによりトリガされる時には CPSM は 送信状態に移行します 7

10 SDMMC コントローラは コマンドインデックスとアーギュメントを設定するための高い柔軟性を提供します アーギュメントを設定するための柔軟な 32 ビットレジスタ およびコマンドインデックスのための独立した 6 ビットフィールドによって このアーキテクチャは ファームウェアがどのようなタイプのカードにでもアドレスすることを保証します コマンドパスステートマシンは コマンドインデックスとアーギュメントのいかなる制限もなく すべてのコマンドトークンを生成することができます さらに スタートビット トランスミッタービット CRC および最終ビットフィールドは バスにおいて自動的に生成されて 送られます 8

11 レスポンスは 前のコマンドへの返答としてカードから送られるトークンです 2 タイプのレスポンスがあります : 短と長 4 つの 32bit レスポンスレジスターとレスポンス制約なしでは SDMMC インタフェースは それとのカードと通信を正しく初期設定するために 長と短レスポンスをサポートします [Click 1] 短レスポンスは全長 48 ビットを持ち ミラードコマンドインデックス 32bit コマンドステータス スタートビット ストップビット および CRC7 チェックサムにより構成されています 短レスポンスが受け取られる時には コマンドステータスは SDMMC_RESP1 レジスターに保存されて ミラードコマンドインデックスは 入手可能な時に SDMMC_RESPCMD レジスターにコピーされます [Click 2] 長レスポンスは全長 136bit を持ち スタートビット ストップビット および CRC7 チェックサムを含む 120bit CID/CSD レジスターにより構成されています 9

12 受信時には CID/CSD カードレジスターは 4 つの SDMMC_RESPx レジスターのうちの 1 つにコピーされます SDMMC インタフェースは スタートビット コマンドインデックス抽出 32 または 128 ビットレスポンス抽出 および自動 CRC7 ベリファイの自動的な検出を特徴とします 9

13 一旦 SDMMC_ARG と SDMMC_CMD レジスタが CMDINDEX WAITRESP= 01 または 11 と CPSMEN = 1 にプログラムされたら CPSM は 送信状態からアイドル状態に移行し ホストは コマンドをカードに送るために SDMMC_CMD ラインをドライブし始める 10

14 もし CPSM がレスポンス (WAITRESP= 01 または 11 ) を待つようにプログラムされるならば それは待ち状態に入り コマンドタイマが動き始めます もし最長の NCR 時間以内にカードが反応しないならば タイムアウトフラグがセットされ CPSM はアイドル状態に戻ります 11

15 一旦 スタートビットがデバイスによりドライブされたら それはコマンドラインにおいて検出されて CPSM は 受信状態に移行します レスポンスが全部受け取られる時には 受信した CRC コードと内部で生成されたチェックサムコードが比較されて 適切なステータスフラグは SDMMC インタフェースステータスレジスターにセットされる CRC なしのレスポンスのために 例えば R3 レスポンスフォーマットで SDMMC コントローラが コマンドレスポンスが受け取られたが CRC チェックはフェイルしたことを意味している CCRCFAIL フラグを生成することに注意してください 12

16 レスポンス付きの完全なコマンドが受け取られた後に CPSM は コマンド - コマンドタイミング (NCC) と反応 - コマンド (NRC) タイミングの制約と合わせるために 少なくとも 8SDMMC_CK クロック期間の間アイドル状態にとどまります 13

17 データパスは データを SD/SDIO または MMC カードへ / から 移します 個々の SDMMC_CK クロックサイクルにおいて データパスは 1 4 または 8 ビットを バス幅設定に合わせて送ることができる 転送ロジックは SDMMCCLK クロックと同期して動作します それは 2 つのサブユニットに分けられていて ひとつつはデータ送信 もうひとつはデータ受信用で どちらも専門のコントロールビットとステータスフラグ付きです データバッファはデータパスの一部ではありません 送受信 F IFO ロジックは APB ドメインにマップされています 異なるサブユニットからのすべての信号は再同期化されます CRC 計算機能はカードとホストの間のデータの完全性を保証します データパケットの終わりに CRC は自動的に計算されます 14

18 設定されたデータバス幅に合わせて データパスは 1 (SDMMC_D0) 4 (SDMMC_D0 to SDMMC_D3) または 8(SDMMC_D0 to SDMMC_D7) のデータブロックを送ります 最初に スタートビットがバス上に生成され シーケンス ( 例の中の 4 番目のバイト ) の最初のバイトから最後のバイトが続きます そして CRC16 と終わりのビットは バスラインにおいてデータパケットに付加されます 4 ビットデータ幅設定では 個々のラインは それ自身のスタートビット 終わりのビット および CRC16 チェックサムを持っています 15

19 この例では 4 バイトは 8 ビットモードの SDMMC バスの上に送られる SDMMC_CK クロックサイクル毎に 1 バイトは スタートビット 最終ビット および CRC16 チェックサムを各々のデータラインにシフトアウトされる 16

20 データパスステートマシン (DPSM) はすべてのデータ遷移と受信を制御しますコントロールする DPSM がアイドル状態で DPSM 有効化ビットと転送方向が設定される時に 最初の送信が引き起こされます [CLICK 1] データ通信のために 可能な時に DPSM がアイドルからウェイト _S に移行し それから 送信状態に移ります ウェイト _S ステートの間 DPSM は データ FFIFO エンプティフラグがデアサート ( ネゲート ) されるまで待ちます [CLICK 2] FIFO バッファの中のデータが入手可能な時に DPSM は送信ステートに移行します 送信ステートにおいて DPSM は コントロールレジスターに設定されているバス幅に従ったデータをカードに送り始める. [CLICK 3] データパケット終了の時に DPSM は 内部で生成された 17

21 CRC コードと最終ビットを送り ビジーステートに移行する ビジーステートにおいて DPSM は CRC ステータスフラグを待ちます それがポジティブな CRC ステータスを受信した場合 もし SDMMC_D0 ピンが Low レベル ならば それはウェイト _S ステートに移行します ( カードがビジーでないことを意味します ) [CLICK 4] ウェイト _S ステートから 新しいパケットトランスミッションは始まることができます または すべてのデータが送信される時には DPSM はアイドル状態に戻ることができます [CLICK 5] カードまたは FIFO のアンダーランエラーからのネガティブな CRC ステータスは DPSM に強制的にアイドルステートに戻すことができます [CLICK 6] データ受信のために DPSM はアイドルステートからウェイト _R ステートに移行する バスにおいてスタートビットが検出される時には DPSM は受信ステートに移行する そして 完全なパケットが受け取られるまでそこに留まります データ転送終了フラグとエラーが検出されない限り DPSM はウェイト _R と受信ステートの間で切り替え続ける もしエラーまたはデータ転送終了フラグが検出されたならば DPSM は アイドルステートに戻る. [CLICK 7] 読み出しウェイトステートは 他のコマンドまたは内部操作を実行するために 転送をストールする SDIO スペックの操作です それにはトランスミッションが進行中の間の受信ステートまたはアイドルステートから達することができます ファームウェアが 読み出し停止操作を要求するとき DPSM はウェイト _R ステートに移行し SDIO デバイスからスタートビットを待ちます 17

22 FIFO は APB ドメインにマッピングされ 32 ビット幅 32 ワードのデータバッファです データ FIFO パケットはデータパス送信と受信パケットのためのデータソースです DPSM ステータスによって データパス FIFO は無効にできます または送信有効か 受信有効化が可能です 専用の受信 / 送信 FIFO ステータスフラグは ファームウェア実行を容易にしています データパスが無効化にされる時には すべての FIFO フラグがデアサート ( ネゲート ) されます 18

23 ハードウェアフロー制御機能は FIFO アンダーラン (DPSM が TX モードである時 ) およびオーバーラン (DPSM が RX モードにある時 ) エラーを避けるために用いられます ハードウェアフロー制御ロジックは SDMMC_CK ピン信号を止めて アンダラン / オーバーランのリスクが検出される時には DPSM を凍結します 送信ステートにおいて SDMMC_CK ピンクロック信号は伸張されて 2 データワードの FIFO の閾値に達したときに DPSM は凍結されます ( 送信ステートにおいて ) 19

24 受信ステートにおいて SDMMC_CK クロックは伸張されて FIFO が一杯の間 DPSM は受信ステートにおいて凍結される ( 閾値は 30 ワード ) FIFO フルフラグがデアサート ( ネゲート ) される時には クロックと DPSM が再スタートします 20

25 概念 : 読み出しウェイト操作は SDIO の特別な操作である そのデータバッファを空にする間 または SDIO デバイスの他の機能にコマンドをおくる間に 一時的にホストがデータ転送をストールすることを可能にします SDMMC コントローラは 2 つの読み出しウェイトモードをサポートする :SDMMC_CK を止めること または SDMMC_D2 信号を使うことによって行います SDMMC_D2 信号の有利な点は 読み出しウェイトモードの間でさえも カードと通信することができることです 21

26 概念 : マルチファンクションカードで SD バスへのアクセスを共有する複数のデバイスがあります 機能が サスペンド / 再開 [ サスペンドし 再開しなさい ] をサポートする時には ホストは 他の内部の操作を実行するか または他のデバイスと通信し それから サスペンドされたトランザクションを再開するために 一時的に データ転送を停止できます. [CLICK 1] もしカードがサスペンド / 再開機能をサポートするならば ホストは 違う機能またはメモリーへの高いプライオリティ転送のためのバスを解放するために 一時的に 1 つの機能またはメモリーへのデータ転送操作を停止できます SDMMC_CMD ビットが 11 に設定される時には CPSM は 現在のコマンドがサスペンドコマンドであると知っています もしサスペンド要求が受け入れられるならば DPSM は 機能が完全なパケットを送り アイドルステートに行く前に アプリケーションが受信 FIFO を空にするまで待ちます その時だけ カードの高いプライオリティ部分を持つファーム 22

27 ウェアは通信を開始できます サスペンドされたトランザクションを再開するために ファームウェアは 機能再開を要求する前に残されたデータを読むために DPSM を再設定する必要があります 22

28 割込みの概念は 1ビットまたは4ビットデータバスモードの SDMMC_D1/IRQピンを使って カードステータスの変化をホストに知らせるために用いられます [CLICK 1] カードが外部のイベントを検出する時には SDIO 割込みはカードからSDMMCホストに送られます. [CLICK 2] いったんデータ制御レジスターのSDIOEN コンフィギュレーションビットが有効になったら SDMMCホストは 割込みによりSDMMC_D1ピンが転送されたのを検出します [CLICK 3] [CLICK 4] [CLICK 5] DPSMがアイドルステートにいる間 SDMMC_D1ピンのすべてのLowレベルは 割込みとしてカードからホストに検出されます 23

29 ここでは割込みイベントの概要が記述されています このスライドはコマンドパスステートマシンと関連しています

30 これは 転送方向と転送ステータスに関連したイベントを持つデータパスステートマシンのためのフラグのリストです

31 これは割込みとポーリングモードにおいて FIFO 管理で利用可能なフラグのリストです [CLICK 2] DMA 要求は FIFO 閾値イベントにより引き起こされる時に 内部で発生する

32 これは特別な低消費電力コンフィギュレーションモードの周辺機能のステータスの概要を示します [CLICK 1] デバイスはストップモードとそれ以下のモードでは通信機能を実行することはできません SDMMC コントローラが使かえなくなるか または 停止するようにシステムが切り替えられる前に すべてのトランスミッションが完成されることを保証することが重要です

33 パフォーマンスは主に SDMMC バス幅とクロック設定に依存します [CLICK 1] SDMMC インタフェースは最高 50MHz にクロックシグナルを生成できます しかし 実際のスピードはアプリケーションによって低下されて いくつかのファクターに依存します [CLICK 2] PCB トラックとカードインプット容量が 影響を及ぼすので SDMMC バス ( 寄生 ) 静電容量を考慮する必要があります GPIO 設定も影響があります 速い GPIO モードを コマンド データ およびクロックシグナルに適用しなければなりません 低電圧のの電源電圧および極端な周囲温度は エッジの速度を落とします そして 場合によっては アプリケーションは いつも 高速のデータフローを管理できるわけではない 特に 異常に頻度の高い例外サービスルーチンや例外ハンドラの処理が長い場合に影響します 28

34 SDMMC インタフェースは 小ピンパッケージが必要な様々なアプリケーションにおいてリムーバブルなまたは永久的な大容量記憶装置データメモリーによってインタフェースに使うことができます SDMMC コントローラは 外部の SDIO デバイスを使う時に 機器接続性を拡張するために使用できます ( 例えば Bluetooth SDIO モジュール ) 29

35 これは STM32 SDMMC インタフェースと関連した周辺機能のリストです ユーザーは SDMMC コントローラを正しく設定し 使うために これらの周辺機能の間のすべての関係に精通している必要があります 30

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例 MODBUS RTU 通信時の配線例 ( 例 )FPΣ と弊社製温調器 KT シリーズ通信します マスタとして使用する FPΣ の MODBUS マスタ機能を使用し スレーブの KT シリーズのデータを読み出し 書き込みを行います マスタ データ書き込み スレーブ データ読み出し RS485 FPΣ の通信カセットは COM3 カセット (FPG-COM3) もしくは COM4 カセット (FPG-COM4)

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

アナログ・接点変換器

アナログ・接点変換器 LoRa/ 通信変換器 HLR-RS485 通信仕様書 (Modbus) インターフェース 2019 年 02 月 19 日 改訂履歴 日付改訂者改訂内容 2018/09/14 野村初版 2019/02/19 山下 改訂 1 P12 説明文修正 レジスタ割付修正 P13 キャリアセンス異常エラー追加 承認確認作成 ( 3 ) 目次 1 概要... 4 2 基本仕様... 4 3 通信モードについて...

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

EU-SD/MCシリーズ アプリケーションノート

EU-SD/MCシリーズ アプリケーションノート の制御方法 シリアル コマンドバージョン基本編 概要 は 組み込み用 SD カードコントロールユニットです ファイルシステムを搭載していますので 煩わしいファイルの管理をホストマイコン側で行う必要が無く 手早く簡単に SD カードを使ったアプリケーションを構築できます このでは のシリアル コマンドバージョンを使 うための基本的な操作方法を説明します 動作可能ユニット EU-SD500 シリーズ及び

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド

WAGO / / Modbus/RTU対応 バスカプラ クイックスタートガイド クイックスタート WAGO-I/O-SYSTEM 750 750-315/300-000 750-316/300-000 通信設定手順書 Ver 1.0.0 136-0071 東京都江東区亀戸 1-5-7 ワゴジャパン株式会社オートメーション TEL: Web: 03-5627-2059 FAX:03-5627-2055 http://www.wago.co.jp/io WAGO Kontakttechnik

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

WLAR-L11G-L/WLS-L11GS-L/WLS-L11GSU-L セットアップガイド

WLAR-L11G-L/WLS-L11GS-L/WLS-L11GSU-L セットアップガイド の特長や 動作環境を説明します プロバイダ契約について の特長 動作環境 各部の名称とはたらき 設定ユーティリティについて 第 章 はじめにお読みください 動作確認プロバイダや最新の情報は のホームページからご覧いただけます は次の通りです を使ってインターネットをご利用になるためには 以下の条件を満たしたプロバイダと契約してください ルータを使用して 複数台のパソコンをインターネットに接続できるプロバイダ

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

PIC24F Family Reference Manual Section 9 WDT

PIC24F Family Reference Manual Section 9 WDT 第 9 章 (WDT) ハイライト 本章では次のトピックについて説明します 9.1 はじめに... 9-2 9.2 WDT の動作... 9-2 9.3 レジスタマップ... 9-5 9.4 設計の秘訣... 9-6 9.5 関連するアプリケーションノート... 9-7 9.6 改版履歴... 9-8 9 2007 Microchip Technology Inc. Advance Information

More information

5400 エミュレーターII 構成の手引き(第6章 トラブルシューティング)

5400 エミュレーターII 構成の手引き(第6章 トラブルシューティング) トラブルシューティング第 6 章トラブルシューティング Telnet5250E 接続を選択して LINK LED が点滅している時には Telnet5250E 接続エラーが発生しています Web ブラウザから 5400 エミュレーター Ⅱにアクセスしてエラーメッセージと内容を確認してください メッセージ対応 ホストシステムトホスト システムと通信できません セツゾクサレテイマセン操作員の対応 : 通信ケーブルの接続状態を確認し

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

DUSx200 シリーズコントローラ I2C インターフェース仕様書

DUSx200 シリーズコントローラ I2C インターフェース仕様書 DUSx200 シリーズコントローラ I2C インターフェース仕様書 目次 1. 変更履歴... 2 2. 適用... 3 3. ホストインターフェース... 3 3.1. 通信タイミング... 3 3.2. 制御信号... 3 3.3. 通信仕様... 4 3.4. プロトコル仕様... 4 4. レポート形式... 5 4.1. タッチ座標データ... 5 4.2 水レポート... 5 5. メンテナンスコマンド...

More information

Layout 1

Layout 1 白書 204 年 9 月 発行に関する条件 発行者 著作権者 : SDアソシエーション 2400 Camino Ramon, Suite 375 San Ramon, CA 94583 USA Tel:+ (925) 275-665, Fax:+ (925) 886-4870 Eメール :office@sdcard.org 免責事項 : この白書に掲載されている情報は いかなる種類の表明または保証もない

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

020204.入出力制御割込解説

020204.入出力制御割込解説 入出力制御と割込解説 問 1 エチャネル制御に関する問題である チャネルは 処理装置に代わって入出力を担当するコンピュータである 汎用コンピュータでは処理装置と入出力装置の完全な平行動作を行うために 入出力専用のチャネルを設けている 処理装置から指示されたCCWからなるチャネルプログラムによって 処理装置から独立して動作する 入出力動作が完了すると入出力割込みによって制御装置に完了を通知する アのチャネルの制御は入出力の両者を制御する

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

Microsoft PowerPoint - Lec ppt [互換モード]

Microsoft PowerPoint - Lec ppt [互換モード] 0 年後学期 アウトオブオーダ実行プロセッサの構成 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ 命令ウィンドウ ALU レジスタファイル ALU スケジューラ等 Register Dispatch 命令フェッチ, デコード, リネーミング バックエンド アウトオブオーダ実行プロセッサの構成 ディスパッチ

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

Novell FilrデスクトップアプリケーションReadme

Novell FilrデスクトップアプリケーションReadme Novell Filr デスクトップアプリケーション Readme 2014 年 9 月 Novell 1 製品の概要 Novell Filr デスクトップアプリケーションを使用すると Novell Filr ファイルとコンピュータのファイルシステムを同期させることができ Filr サイトに直接アクセスしなくても ファイルを修正することができます Filr とコンピュータ間で追加および修正が同期します

More information

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi DigitalGaugeCounter DG3000 シリーズ ダイナミックリンクライブラリ GaugeC48.dll(DLL) 取扱説明書 このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです

More information

Microsoft Word - XPC4ソフトマニュアル.doc

Microsoft Word - XPC4ソフトマニュアル.doc < XPC-4 映像ツール 簡易マニュアル> お試し版 Ver1.0 XPC-4 に USB ケーブルを接続する際の注意事項 ファームウェア アップデートの作業 もしくは XPC-4 映像ツール を使用するときは USB2.0 に対応した USB ケーブル (Type A[ オス ]-Type B[ オス ]) が 1 本必要です USB ケーブルはパソコンの OS(Windows) が完全に起動してから

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Windows パソコンに本製品を接続する 1. EM chip を取り付けた本製品の USB コネクタに USB ケーブルを接続します 2. USB ケーブルのもう一方のコネクタをパソコンの USB ポートに接続します パソコンがデータ通信カード ( 本製品 ) を認識します ( パソコンが本製品

Windows パソコンに本製品を接続する 1. EM chip を取り付けた本製品の USB コネクタに USB ケーブルを接続します 2. USB ケーブルのもう一方のコネクタをパソコンの USB ポートに接続します パソコンがデータ通信カード ( 本製品 ) を認識します ( パソコンが本製品 Windows パソコンに本製品を接続する...56 EMOBILE GP01 ドライバをインストールする...57 インストーラーが自動的に起動しない場合 (Windows 7 Vista)...60 インストーラーが自動的に起動しない場合 (Windows XP)...61 インターネットに接続する...62 Windows パソコンから本製品を取り外す...62 Windows 7 の場合...62

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

ComputerArchitecture.ppt

ComputerArchitecture.ppt 1 人間とコンピュータの違い コンピュータ 複雑な科学計算や膨大な量のデータの処理, さまざまな装置の制御, 通信などを定められた手順に従って間違いなく高速に実行する 人間 誰かに命令されなくても自発的に処理したり, 条件が変化しても臨機応変に対処できる 多くの問題解決を経験することで, より高度な問題解決法を考え出す 数値では表しにくい情報の処理ができる 2 コンピュータの構成要素 構成要素 ハードウェア

More information

形B5Z 画像型人感センサ(HVC-F) コマンド仕様書

形B5Z 画像型人感センサ(HVC-F) コマンド仕様書 目次 1 はじめに... 2 1-1 B5Z-001001 とは...2 2 基本フロー... 3 2-1 通信フロー...3 2-2 ホスト装置サンプル処理フロー...4 2-3 TCP/IP コマンドと Modbus/TCP コマンド...6 3 コマンド仕様... 7 3-1 コマンドフォーマット...7 3-1-1 TCP/IP コマンド / レスポンスフォーマット... 7 3-1-2 Modbus/TCP

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1)

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1) 作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 2013.6(1.1) 本簡単取扱説明書は あくまで簡易な使用方法についての取扱説明書です ご使用に関 して機器取扱説明書を十分ご理解の上で正しくご使用くださるようお願いします 注意 本簡単取扱説明書は 簡易な使用方法についての取扱説明 書です 詳細については機器取扱説明書十分理解して使用 してください 1 本品仕様 P-1

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage IMATION ENCRYPTION MANAGER PLUS ユーザーマニュアル Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manager Plus には

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

V8_教育テキスト.dot

V8_教育テキスト.dot 1.1 Universal Volume Manager 概要 1.1.1 Universal Volume Manager とは Universal Volume Manager は VSP ファミリーに 機種の異なる複数のストレージ ( 外部ストレージ と呼ぶ ) を接続機能です 外部ストレージ接続時 Universal Volume Manager はこの外部ストレージをストレージシステムの内部ストレージ

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

MAHO Dialer について MAHO Dialer は MAHO-PBX を経由し PC にて着信時に発信者情報をポップアップしたり 着信履歴などから発信操作を行うためのソフトウエアです このガイドでは MAHO Dialer のインストール アップデート 初期設定 使用方法 および アンイン

MAHO Dialer について MAHO Dialer は MAHO-PBX を経由し PC にて着信時に発信者情報をポップアップしたり 着信履歴などから発信操作を行うためのソフトウエアです このガイドでは MAHO Dialer のインストール アップデート 初期設定 使用方法 および アンイン IP-PBX サポートツール 3.02.02 ファーストステップガイド この度はまほろば工房製品をお買い上げ頂き 誠にありがとうございます ご使用の前に 必ずこのドキュメントをお読みになり 正しくお使いください MAHO Dialer について 1 動作環境 1 インストール 2 アンインストール 4 初期設定 5 使用方法 8 MAHO Dialer について MAHO Dialer は MAHO-PBX

More information

IrDA型赤外線タグ仕様

IrDA型赤外線タグ仕様 IrDA 型赤外線タグ仕様 Specification of IrDA infrared active tag 目次 (Table of Contents) はじめに...3 規定範囲...3 本書の位置付け...3 参照規定...3 用語定義...3 1. IrDA 型赤外線タグの物理層規定...4 1.1. 概要...4 1.2. バイトフォーマット...5 1.2.1. パルス幅許容値...5

More information

概要

概要 CHAPTER この章では PA-B-U 同期シリアルポートアダプタについて説明します 内容は 次のとおりです ポートアダプタの (p.-) LED(p.-) ケーブルおよびピン割り当て (p.-) サポート対象プラットフォームでのポートアダプタのスロット位置 (p.-) インターフェイスアドレスの識別 (p.-7) OL---J - ETHERNET-BFL 6 ポートアダプタの 第 章 ポートアダプタの

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

Windows Server 2003 Service Pack 適用手順書

Windows Server 2003 Service Pack 適用手順書 CLUSTERPRO X 1.0 for Windows Windows Server 2003 Service Pack 適用手順書 第 1 版 2007 年 5 月 21 日 本手順書では CLUSTERPRO X 環境における Windows Server 2003 Service Pack 1/2 の適用方法を説明します 以降 特に記述のない場合 Service Pack は Windows

More information

OS

OS Operatig Systems カーネルとデバイスドライバ 2019-03 1 OS の構成要素 シェル ワープロ ブラウザ さまざまなソフトウェア ] ^ _ Z ` a b c d e ` f Y Z [ \ プロセス管理通信制御ファイルシステム メモリ管理割込み制御タイマ管理 デバイスドライバ 管理プログラム 基本ライブラリ デバイスドライバ CPU メモリ ストレージ さまざまなハードウェア

More information

LANカード(PG-2871) 取扱説明書

LANカード(PG-2871) 取扱説明書 B7FY-2821-01 Z0-00 PG-2871 はじめに このたびは 弊社の LAN カード (PG-2871) をお買い上げいただき 誠にありがとうございます 本書は LAN カード ( 以降 本製品 ) の仕様について説明します LAN ドライバの詳細設定については 最新の LAN ドライバのマニュアルを参照してください 2010 年 8 月 目次 1 LANカードの仕様........................................

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

BluetoothLE 部 ( 無線部 ) 認証 国内電波法 : FCC:CWTUGMZ2AA CE:EN :EN 受信感度 -70dBm( 最大 ) 送信電力 +0dBm( 最大 ) 周波数 2402~2480MHz チャンネル間隔 2.0

BluetoothLE 部 ( 無線部 ) 認証 国内電波法 : FCC:CWTUGMZ2AA CE:EN :EN 受信感度 -70dBm( 最大 ) 送信電力 +0dBm( 最大 ) 周波数 2402~2480MHz チャンネル間隔 2.0 1. 適用商品番号 :080-1 080-2 080-3 2. 商品名 :UART-BluetoothLE 変換モジュール (PixiModule UART) 3. 改訂番号 :20170914 注意 本書を良くご覧になり仕様内でご使用ください 使用中に煙やこげた臭いなどがあった場合は即座に使用を中止してください 赤ちゃんや幼児などが触れたり口に入れないよう十分ご注意ください 免責事項はサイトをご確認ください

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

MINI2440マニュアル

MINI2440マニュアル 指紋認証モジュール R30X シリーズ http://www.nissin-tech.com info@nissin-tech.com 2011/10/8 copyright@2011 1 第一章指紋認証モジュール (R30x) の概要...4 1.1 主な特性...4 1.2 モジュールの寸法とピン...5 1.3 内部ブロック...6 第二章初体験...7 第三章シリアル通信プロトコール...15

More information

索引

索引 INDEX 数字 10 GE PLIM 物理特性 5-17 10-GE PLIM 5-16 前面パネル ( 図 ) 5-17 A AC Delta 電源シェルフ 配線 ( 図 ) 2-20 AC Wye 電源シェルフ 2-20 配線 ( 図 ) 2-21 AC および DC 固定構成電源システム 2-10, 2-14 AC 整流 LED( 表 ) 2-23 状態 2-22 図 2-22 説明 2-21

More information

CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.p

CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.p CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.pc インタフェース :TCP/IP d.labview バージョン :LabView(Ver7.1)

More information

M16C M16C/50 M16C/5M R5F35MD6JFB 128K + 24K 12K PLQP0100KB-A 100P6Q-A ( 1) R5F35MD6KFB PLQP0100KB-A 100P6Q-A ( 1) R5F35MDEJFB 256K + 24K 20K PLQP0100K

M16C M16C/50 M16C/5M R5F35MD6JFB 128K + 24K 12K PLQP0100KB-A 100P6Q-A ( 1) R5F35MD6KFB PLQP0100KB-A 100P6Q-A ( 1) R5F35MDEJFB 256K + 24K 20K PLQP0100K M16C M16C/50 M16C/5L R5F35L23JFE 96K + 24K 8K PLQP0080KB-A 80P6Q-A ( 1) R5F35L23KFE PLQP0080KB-A 80P6Q-A ( 1) R5F35L26JFE 128K + 24K 12K PLQP0080KB-A 80P6Q-A ( 1) R5F35L26KFE PLQP0080KB-A 80P6Q-A ( 1)

More information

ご注意 1. このマニュアルの著作権はアドバンオートメーション株式会社に属します 2. このマニュアルに記載されている製品について将来予告することなしに変更することがあります またマニュアルの記述も予告なしに変更することがあります 3. このマニュアルの一部または全部を複製 複写 翻訳 転載 テープ化などをすることはできません 4. 本書の内容の正確さには細心の注意を払っていますが 本書の内容に基づく使用による結果の影響については

More information

総合仕様

総合仕様 Dell Inspiron 300/400 仕様 本書には セットアップ ドライバのアップデート およびコンピュータのアップデートの際に必要となる可能性がある基本情報が記載されています メモ : 提供される内容は地域により異なる場合があります コンピュータの設定に関する詳細については スタートとサポートをクリックし お使いのコンピュータに関する情報を表示するためのオプションを選択してください ヘルプ

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

無償期間中に Windows10 に アップグレードをお考えのお客様へ 現在 御太助.net で使用している SQL Server のバージョンは Windows10 ではその動作が保証されていません そのため 御太助.net を WIndows10 で使用するにあたっては SQL Server の

無償期間中に Windows10 に アップグレードをお考えのお客様へ 現在 御太助.net で使用している SQL Server のバージョンは Windows10 ではその動作が保証されていません そのため 御太助.net を WIndows10 で使用するにあたっては SQL Server の 無償期間中に Windows10 に アップグレードをお考えのお客様へ 現在 御太助.net で使用している SQL Server のバージョンは Windows10 ではその動作が保証されていません そのため 御太助.net を WIndows10 で使用するにあたっては SQL Server のバージョンを Windows10 で動作が保証されているものにアップデートする必要があります 御太助.net

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Renesas Synergy TM プラットフォーム ThreadX リアルタイム OS 紹介 アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Synergy プラットフォーム構成中核を担う ThreadX リアルタイム OS ご紹介部分 ページ 3 ThreadX

More information

ご注意 1. このマニュアルの著作権はアドバンオートメーション株式会社に属します 2. このマニュアルに記載されている製品について将来予告することなしに変更することがあります またマニュアルの記述も予告なしに変更することがあります 3. このマニュアルの一部または全部を複製 複写 翻訳 転載 テープ化などをすることはできません 4. 本書の内容の正確さには細心の注意を払っていますが 本書の内容に基づく使用による結果の影響については

More information

Diginnos PC 初期化方法 初期化操作を行うと ストレージ ( ハードディスク / SSD)( 以降ストレージと記載します ) 内のデータが全て初期化されます 必要なデータは他のメディア等にバックアップのうえ作業してください 初期化とは Windows 10 のプッシュボタンリセットの機能の

Diginnos PC 初期化方法 初期化操作を行うと ストレージ ( ハードディスク / SSD)( 以降ストレージと記載します ) 内のデータが全て初期化されます 必要なデータは他のメディア等にバックアップのうえ作業してください 初期化とは Windows 10 のプッシュボタンリセットの機能の 初期化操作を行うと ストレージ ( ハードディスク / SSD)( 以降ストレージと記載します ) 内のデータが全て初期化されます 必要なデータは他のメディア等にバックアップのうえ作業してください 初期化とは Windows 10 のプッシュボタンリセットの機能の内 すべてを削除して再インストール を使用し インストールしたアプリやドライバー 保存したデータなどすべてを削除して W i n d o

More information

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ)

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ) CHAPTER 2 アプリケーションインスペクションの特別なアクション ( インスペクションポリシーマップ ) モジュラポリシーフレームワークでは 多くのアプリケーションインスペクションで実行される特別なアクションを設定できます サービスポリシーでインスペクションエンジンをイネーブルにする場合は インスペクションポリシーマップで定義されるアクションを必要に応じてイネーブルにすることもできます インスペクションポリシーマップが

More information

BR-H1016シリーズの仕様

BR-H1016シリーズの仕様 BR-H1016 シリーズの仕様 35011012 ver.01 最新の情報や対応機種については カタログまたはインターネットホームページ (buffalo.jp) をご参照ください 対応メディア メディアの種類 書き込み (*2) 読み出し (*2) BD-R(1 層 )(*1)(*3) 最大 10 倍速 (*4) 最大 10 倍速 (*5)(*6) BD-R( )(*1)(*3) 最大 8 倍速

More information

 

  Biz Box ルータ RTX1210 ファームウェアバージョンアップ手順書 - 1 - 1.1 外部メモリを使用して GUI 画面でファームウェアを更新する 市販の外部メモリ (USB メモリ /microsd カード ) に保存したファームウェアをルーターに読み込ませてファームウェアの更新を 行います FAT またはFAT32 形式でフォーマットされていない外部メモリは ルーターで使用できません

More information

論文番号 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行

論文番号 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行 論文番号 2012- 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行圭介 Xbee を活用した無線通信の研究 香川県立三豊工業高等学校電子科本行圭介 1 はじめに現在 様々な電子機器に無線通信機能が搭載されており

More information

ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル (TRM)、第11章:SD/MMC コントローラ

ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル (TRM)、第11章:SD/MMC コントローラ November 2012 cv_54011-1.1 cv_54011-1.1 ハード プロセッサ システム (HPS) は 外部 SD と MMC のフラッシュ カード セキュア デジタル I/O(SDIO) デバイス および Consumer Electronics Advanced Transport Architecture(CE-ATA) ハード ドライブにインタフェースするための Secure

More information

ファイル管理 microsdメモリカードを利用する 232 microsdメモリカードを取り付ける 233 microsdメモリカードを取り外す 234 microusbケーブルでパソコンと接続する 235 メモリの使用量を確認する

ファイル管理 microsdメモリカードを利用する 232 microsdメモリカードを取り付ける 233 microsdメモリカードを取り外す 234 microusbケーブルでパソコンと接続する 235 メモリの使用量を確認する ファイル管理 microsdメモリカードを利用する 232 microsdメモリカードを取り付ける 233 microsdメモリカードを取り外す 234 microusbケーブルでパソコンと接続する 235 メモリの使用量を確認する 237 231 ファイル管理232 microsd メモリカードを利用する microsd メモリカード (microsdhc メモリカードを含む ) を IS11LG

More information

G800SE HTMLdocument update

G800SE HTMLdocument update HTML ドキュメントアップデート手順説明書 本説明書では 弊社ホームページから G800SE の HTML ドキュメントをダウンロードし アップデートを行う方法を説明しています HTML ドキュメントのアップデートを行うには 下記の操作を行ってください 操作フロー 1. ご使用のカメラのHTMLドキュメントバージョンを確認する (P.2) 2. ダウンロードしてHTMLドキュメントのファイルを準備する

More information

HDC-EDI Manager Ver レベルアップ詳細情報 < 製品一覧 > 製品名バージョン HDC-EDI Manager < 対応 JavaVM> Java 2 Software Development Kit, Standard Edition 1.4 Java 2

HDC-EDI Manager Ver レベルアップ詳細情報 < 製品一覧 > 製品名バージョン HDC-EDI Manager < 対応 JavaVM> Java 2 Software Development Kit, Standard Edition 1.4 Java 2 レベルアップ詳細情報 < 製品一覧 > 製品名バージョン HDC-EDI Manager 2.2.0 < 対応 JavaVM> Java 2 Software Development Kit, Standard Edition 1.4 Java 2 Platform Standard Edition Development Kit 5.0 Java SE Development Kit 6 < 追加機能一覧

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

K006/ K006 < カメラなしモデル >

K006/ K006 < カメラなしモデル > K006/ K006 < カメラなしモデル > はじめに 本書は K006 K006 < カメラなしモデル > ( 以降 K006 ) とパソコンを指定の USB ケーブル ( 別売 ) を使用して接続し インターネット通信や au ホームページで公開している各種ツールをご利用になるための USB ドライバ のインストール方法を説明しています USB ドライバをインストールする 3 パソコンに接続する

More information

Windows パソコンに接続する 画面表示は Windows 8 を例としています 1. EM chip <micro> を取り付けた本製品の microusb コネクタに microusb ケーブルを接続します 2. microusb ケーブルのもう一方のコネクタをパソコンの USB ポートに接

Windows パソコンに接続する 画面表示は Windows 8 を例としています 1. EM chip <micro> を取り付けた本製品の microusb コネクタに microusb ケーブルを接続します 2. microusb ケーブルのもう一方のコネクタをパソコンの USB ポートに接 Windows パソコンに接続する...56 EMOBILE GL06P ドライバをインストールする...57 Windows パソコンから取り外す...59 EMOBILE GL06P ドライバをアンインストール ( 削除 ) する場合...61 Mac に接続する...62 EMOBILE GL06P ドライバをインストールする...63 Mac から取り外す...65 EMOBILE GL06P

More information

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする 高速組み込み式ワイヤレス 伝送モジュール NRF24L01(DIP) マニュアル 株式会社日昇テクノロジー 更新日 :2013/

不可能への挑戦株式会社日昇テクノロジー低価格 高品質が不可能? 日昇テクノロジーなら可能にする 高速組み込み式ワイヤレス 伝送モジュール NRF24L01(DIP) マニュアル 株式会社日昇テクノロジー   更新日 :2013/ 高速組み込み式ワイヤレス 伝送モジュール NRF24L01(DIP) マニュアル 株式会社 http://www.csun.co.jp info@csun.co.jp 更新日 :2013/09/06 copyright@2013 ホームページ :http://www.csun.co.jp メール :info@csun.co.jp 1 修正履歴 NO バージョン修正内容修正日 1 Ver1.0 新規作成

More information

地図 SD カードを取り外す 最初に ナビゲーション本体から地図 SD カードを取り外します 本操作は地図 SD カードを初めて ROAD EXPLORER Updater に登録するときや パソコンにダウンロードしたデータを地図 SD カードに保存するときに実行してください 1 ナビゲーション本体

地図 SD カードを取り外す 最初に ナビゲーション本体から地図 SD カードを取り外します 本操作は地図 SD カードを初めて ROAD EXPLORER Updater に登録するときや パソコンにダウンロードしたデータを地図 SD カードに保存するときに実行してください 1 ナビゲーション本体 ROAD EXPLORER Updater 取扱説明書 205-0001-06 Version:1.4.0.0 ROAD EXPLORER Updater を使用する前に必ずお読みください ROAD EXPLORER Updater の操作手順は Microsoft Windows XP の画面を例に説明しています 画面例は実際と異なる場合があります 目次 ROAD EXPLORER Updater

More information

wx01j-v234_instmac

wx01j-v234_instmac WX01J (Macintosh 用 ) 2015 年 11 月 10 日 1 1. 動作環境 動作確認 OS: 1 2 HDD 空き容量 : Mac OS 10.4.11~10.7.5 60M バイト以上 1 Macintosh MacOSはApple Computer, Inc. の登録商標です 2 上記以外のOSバージョンでは正常に動作しない事を確認しております また 上記 OS を搭載していても機種によっては正常に動作しない場合があります

More information

iCLR

iCLR RF24N1D-05-TK 無線モジュール簡易評価キット 取扱説明書 第 1.02 版 2014 年 05 月 01 日 株式会社 TOUA 1/10 目次 1. はじめに... 3 1.1. 無線モジュール簡易評価キットの概要... 3 1.2. 免責事項... 3 2. 無線モジュール簡易評価キットの構成... 3 3. 評価ボードの説明... 4 4. 事前準備... 5 5. 評価キットの実機動作...

More information

Raspberry Pi (Windows10 IoT Core) を使用したリーダ ライタの制御例 (UART 接続 ) 2018 年 12 月 18 日第 版 株式会社アートファイネックス

Raspberry Pi (Windows10 IoT Core) を使用したリーダ ライタの制御例 (UART 接続 ) 2018 年 12 月 18 日第 版 株式会社アートファイネックス Raspberry Pi (Windows10 IoT Core) を使用したリーダ ライタの制御例 (UART 接続 ) 2018 年 12 月 18 日第 1.1.0 版 株式会社アートファイネックス はじめに 本書は Raspberry Pi 3 Model B(OS:Windows10 IoT Core) を使用し アートファイネックス社製 RFID リー ダ ライタ ( 組込用モジュール

More information