計算機アーキテクチャ特論 後半第2回 アウトオブオーダー実行 Out-of-Order Execution

Size: px
Start display at page:

Download "計算機アーキテクチャ特論 後半第2回 アウトオブオーダー実行 Out-of-Order Execution"

Transcription

1 計算機アーキテクチャ特論 後半第 6 回 NoC Network on Chip 講師加藤真平 本資料は授業用です 無断で転載することを禁じます

2 前回の理解度クイズ 問 1 NVIDIA GPU におけるグリッド ブロック スレッドの関係を簡潔に述べよ 答え GPUアーキテクチャの差異を吸収する抽象概念グリッド : 複数のブロックの集合ブロック : 複数のスレッドの集合スレッド : 実行の最小単位

3 前回の理解度クイズ 問 2 NVIDIA GPU におけるワープの役割を簡潔に述べよ 答え マルチスレッディングにおけるスレッドのグループ 32 個のスレッドをひとまとめにしたものをワープと呼ぶ ワープ単位で実行するスレッドを切り替えることで 1 つ 1 つのスレッドを切り替える場合に比べてオーバヘッドを削減できる

4 前回の理解度クイズ 問 3 NVIDIA GPU の実行手順が正しくなるように以下を並べ替えよ 1 GPU 上で計算 2 CPU から GPU にプログラムコードをコピー 3 GPU から CPU に計算結果をコピー 4 CPU から GPU に入力データをコピー 5 GPU コンテキストの生成答え または

5 前回の理解度クイズ 問 4 NVIDIA GPU を制御するうえで GPU コマンド送信に使用する Indirect Buffer の役割を簡潔に述べよ 答え Indirect Buffer は 64 ビットのパケットを格納しており 各パケットは実際の GPU コマンドが格納されているメモリ領域のオフセットとサイズに関する情報を持っている GPU はこの Indirect Buffer を読むことで GPU コマンドが格納されている場所からそのサイズ分 DMA 転送を行うことができるようになる

6 今日の講義 Network on Chip (NoC) 理解度クイズ

7 メニーコア NVIDIA/GPU INTEL/MIC L1 L1 L1 L1 L1 L1 L1 L2 Cache X86 Vec L1 Cache X86 Vec L1 Cache X86 Vec L1 Cache L2 Cache L2 Cache L2 Cache Interprocessor Network Memory & I/O Interface Video Memory CPU Main Memory CPU Main Memory

8 Many Integrated Core (MIC)

9 TILE64

10 Network on Chip PE PE PE PE PE PE Router PE PE PE PE

11 ここからは慶應義塾大学 松谷宏紀先生から借用のスライド

12 はじめに : マルチコア化への流れ 半導体技術の進歩 複数の計算コアを集積可 消費電力の増加 PPE SPE SPE SPE Ring buses SPE 動作周波数の向上は頭打ち SPE SPE SPE SPE マルチコア化 コア数を増やす (2~80 コア ) 動作周波数は低く抑える 並列化でスループットを稼ぐ マルチコアの例 STI Cell BE Sun T1(Niagara) [Pham, ISSCC 05] [Kongetira, micro 05] Cell BE (PPE 1 個, SPE 8 個 ) Core Core Core Core Core Crossbar SW Core Core Core L2 $ L2 $ L2 $ L2 $ Sun T1( コア 8 個, 各コア 4 スレッド )

13 コアの接続方式 : バス vs. ネットワーク オンチップバス ARM AMBA IBM CoreConnect パケットの構造 Core Core Core Core Network-on-Chip (NoC) ネットワーク状に接続 Router パケットスイッチング Core [Dally,DAC 01] [Benini,Computer 02] 占有 On-chip bus Dst シンプル, 面積が小さい Body flits Header flit コア数が増えるとボトルネック オンチップバスに代わる結合網として Network-on-Chip(NoC) が注目 2-D mesh network

14 Network-on-Chips の応用 MEM PE Array PE Array Network-on-Chip 80 tiles MEM router FP MAC FP MAC PE Array MIPS core Single tile MuCCRA [ 天野, ASSCC 07] Intel 80-core chip [Vangal,ISSCC 07] オンチップバスの置換え コア数を増やして電圧低減 科学技術演算向け 小規模 System-on-Chip 組込マルチメディア処理 ハイパフォーマンス系 面積コストの削減 高スループット化, 低遅延化消費電力の削減

15 Network-on-Chip の研究分野 いろいろなアプローチ ソフトウェアレベル アーキテクチャレベル 回路レベル ネットワークアーキテクチャ Software Level Architecture Level Circuit Level Device Level Input ports OS, task scheduling Topology, routing, router architecture 3D IC, power gating Output ports Tree FIFO FIFO Crossbar Deadlock-free routing Mesh (Grid) ルーティング, フロー制御 ネットワークトポロジ ルータアーキテクチャ

16 NoC のトポロジ : Mesh & Torus 2-D Mesh RAW [Taylor, ISCA 04] Intel s 80-core [Vangal, ISSCC 07] 2-D Torus [Dally, DAC 01] メッシュの 2 倍の帯域 ルータ 計算コア

17 NoC のトポロジ : Fat Tree Fat Tree (p, q, c) p: 上位リンクの数 q: 下位リンクの数 c: コアのポート数 SPIN [Andriahantenaina,DATE 03] SCORE [Caspi, FPL 00] ACM [Furtek, FPL 04] Fat Tree (2,4,1) Fat Tree (2,4,2) ルータ 計算コア

18 NoC のトポロジ : その他 (1) Spidergon リング + 対角線上に追加リンク Node degree 3; コスト効率が良い [Coppola, ISSOC 04] [Bononi, DATE 06] ルータ Spidergon (2-D layout) 計算コア

19 NoC のトポロジ : その他 (2) WK-recursive (d,k) d-node の完全グラフ 再帰的に k 回結合 Mesh-of-Tree メッシュ状にコアを並べる 縦 / 横方向にツリーで結合 [Vecchia, FCGS 88] [Rahmati, WK-recursive (4,2) ルータ 計算コア Mesh-of-Tree [Leighton, Math System

20 最近のオンチップネットワーク システム名トポロジルーティングスイッチングフロー制御 MIT RAW 2-D mesh (32bit) XY DOR WH, no VC Credit UPMC SPIN Fat Tree (32bit) Up*/down* WH, no VC Credit QuickSilver ACM H-Tree (32bit) Up*/down* 1-flit, no VC Credit UMass Amherst asoc 2-D mesh Shortest-path Pipelined CS, no VC Timeslot Sun T1 Crossbar (128bit) - - Handshake Cell BE EIB Ring (128bit) Shortest-path Pipelined CS, no VC TRIPS (operand) TRIPS (on-chip) 2-D mesh (109bit) ネットワークトポロジのまとめ 2-D mesh (128bit) Intel SCC 2-D torus (32bt) XY,YX DOR, 実際は 2-D mesh が良く使われる odd-even TM Credit YX DOR 1-flit, no VC On/off YX DOR WH, 4 VCs Credit 考慮すべきは, スループット, ルータ面積, 配線量, 配線遅延 NoC では配線は豊富に使えるが, ルータ面積は小さくしたい WH, no VC レイアウトが容易, かつ, パケットルーティングも単純 Stall/go Intel Teraflops 2-D mesh (32bit) Source WH, 2 lanes On/off

21 On-Chip Network Architecture いろいろなアプローチ ソフトウェアレベル アーキテクチャレベル 回路レベル ネットワークアーキテクチャ Software Level Architecture Level Circuit Level Device Level Input ports OS, task scheduling Topology, routing, router architecture 3D IC, power gating Output ports Tree FIFO Deadlock-free routing ルーティング, フロー制御 Mesh (Grid) ネットワークトポロジ FIFO Crossbar ルータアーキテクチャ

22 ルーティング : 固定型ルーティング 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 X 方向 例 ) 次元順ルーティング Y 方向

23 ルーティング : 次元順ルーティング ( トーラス ) 次元順ルーティング X 方向 Y 方向 仮想チャネルが必要 2 次元トーラス ルータ 計算コア

24 ルーティング : 次元順ルーティング ( トーラス ) 次元順ルーティング X 方向 Y 方向 仮想チャネルが必要 循環依存 ( サイクル ) が発生 デッドロック バッファを多重化 ( 仮想チャネル ) 2 次元トーラス ルータ 循環依存を断ち切る デッドロックフリー 計算コア

25 ルーティング : 適応型ルーティング 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 例 ) West-first, Negative-first, North-last, Odd-even, Opt-y, DP

26 ルーティング : West-first routing 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 NW West-first の禁止ターン SW

27 ルーティング : North-last routing 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 NW NE North-last の禁止ターン

28 ルーティング : Negative-first routing 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 NW ES Negative-first の禁止ターン

29 ルーティング : Odd-even turn-model 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 偶数列か奇数列かによって禁止ターン違う ES EN Odd-even ( 偶数列 ) の禁止ターン 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 NW SW Odd-even ( 奇数列 ) の禁止ターン

30 ルーティング : Opt-y routing (1/3) 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 Fully adaptive routing 仮想チャネル (VC) を用い, 任意のターンを許可 NS 方向に VC 2 本 WN SW WS NW NS 方向に仮想チャネル 0 を使う場合 ( ) 点線のターンは これ以上 West 方向に進まないとき のみ許可

31 ルーティング : Opt-y routing (2/3) 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 Fully adaptive routing 仮想チャネル (VC) を用い, 任意のターンを許可 NS 方向に VC 2 本 NS 方向に仮想チャネル 1 を使う場合 ( ) 点線のターンは これ以上 West 方向に進まないとき のみ許可

32 ルーティング : Opt-y routing (3/3) 固定型ルーティング Source-destination 間の経路は 1 つに固定 ランダム型ルーティング Source-destination 間に複数の経路 ランダムに 1 つを選択 適応型ルーティング Source-destination 間に複数の経路 混雑に応じて 1 つを選択 Fully adaptive routing 仮想チャネル (VC) を用い, 任意のターンを許可 NS 方向に VC 2 本 N1 N0 N0 N1 S1 S0 S0 S1 NS 方向の仮想チャネル番号切替え ( ) 点線のターンは これ以上 West 方向に進まないとき のみ許可

33 最近のオンチップネットワーク システム名トポロジルーティングスイッチングフロー制御 MIT RAW 2-D mesh (32bit) XY DOR WH, no VC Credit UPMC SPIN Fat Tree (32bit) Up*/down* WH, no VC Credit QuickSilver ACM H-Tree (32bit) Up*/down* 1-flit, no VC Credit UMass Amherst asoc 2-D mesh Shortest-path Pipelined CS, no VC Timeslot Sun T1 Crossbar (128bit) - - Handshake Cell BE EIB Ring (128bit) Shortest-path Pipelined CS, no VC TRIPS (operand) TRIPS (on-chip) 2-D mesh (109bit) パケットルーティングのまとめ 2-D mesh (128bit) Intel SCC 2-D torus (32bt) XY,YX DOR, Credit YX DOR 1-flit, no VC On/off YX DOR WH, 4 VCs Credit NoC では単純な固定型ルーティングが多く使われている 非最短経路は消費電力 ( 回路のスイッチング ) の増加を招く WH, no VC ルーティングはトポロジによって odd-even ( TM ある程度 ) 決まる Mesh/torus なら次元順ルーティング Stall/go Intel Teraflops 2-D mesh (32bit) Source WH, 2 lanes On/off

34 パケットスイッチング : 3 種類の方法 Store-and-forward (SAF) パケット単位で転送 大きなバッファ T = D (Lh + Lb) Wormhole (WH) フリット単位で転送 小さなバッファ T = D Lh + Lb Virtual-cut through フリット単位で転送 大きなバッファ T= 転送時間, D= ホップ数 Lh= ヘッダ長, Lb= ボディ長 R1 R2 R3 R1 R2 R3 ホップ数 3, パケット長 10-flit で, 1-flit 転送に 1-clock かかるとき, 転送時間の合計は? SAF の場合 WH の場合 3 * (1 + 10) = 33 clock 3 * = 13 clock

35 仮想チャネル (VC) 機構 VC の利点 先詰まりの防止 (1 車線と 2 車線の道路の例 ) 前方で右折したいが先が詰まってて進めない ( 怒 ) この帯域が無駄になっている 右折レーンを有効活用

36 仮想チャネル (VC) 機構 VC の利点 先詰まりの防止 (1 車線と 2 車線の道路の例 ) この帯域が無駄になっている VC の実装 1 物理ポートの時分割多重 [Dally,TPDS 92] Packet (a) Packet (b) VC#0 VC#1 パケット (a) は先が詰まって進めないので, 先にパケット (b) がクロスバを通過

37 最近のオンチップネットワーク システム名トポロジルーティングスイッチングフロー制御 MIT RAW 2-D mesh (32bit) XY DOR WH, no VC Credit UPMC SPIN Fat Tree (32bit) Up*/down* WH, no VC Credit QuickSilver ACM H-Tree (32bit) Up*/down* 1-flit, no VC Credit UMass Amherst asoc 2-D mesh Shortest-path Pipelined CS, no VC Timeslot Sun T1 Crossbar (128bit) - - Handshake Cell BE EIB Ring (128bit) Shortest-path Pipelined CS, no VC TRIPS (operand) TRIPS (on-chip) 2-D mesh (109bit) パケットスイッチングのまとめ 2-D mesh (128bit) NoC では Wormhole 方式が主流 Wormhole 方式 Intel SCC 2-D torus (32bt) XY,YX DOR, Credit YX DOR 1-flit, no VC On/off YX DOR WH, 4 VCs Credit WH, no VC バッファサイズが小さく, 通信遅延が小さい odd-even TM NoC 向き Stall/go ただ, head-of-line blocking の影響を受ける 仮想チャネル Intel Teraflops 2-D mesh (32bit) Source WH, 2 lanes On/off

38 On-Chip Network Architecture いろいろなアプローチ ソフトウェアレベル アーキテクチャレベル 回路レベル ネットワークアーキテクチャ Software Level Architecture Level Circuit Level Device Level Input ports OS, task scheduling Topology, routing, router architecture 3D IC, power gating Output ports Tree FIFO Deadlock-free routing ルーティング, フロー制御 Mesh (Grid) ネットワークトポロジ FIFO Crossbar ルータアーキテクチャ

39 オンチップルータ : ハードウェア構成 5 入力 5 出力の WH ルータ, データ ( フリット ) 幅は 64-bit 1 ポート当り複数の入力バッファ ( この図では 2 系統 ) を持つ 仮想チャネル 2 本 ARBITER X+ FIFO X+ X- FIFO X- Y+ FIFO Y+ Y- CORE FIFO FIFO 5x5 XBAR Y- CORE 配置配線後のゲート数は 15~30 [kgates] で, 全体の 6 割が FIFO

40 オンチップルータ : パイプライン構造 衝突しなければ 3 cycle でヘッダがルータを通過 RC (Routing computation) VSA (Virtual channel / switch allocation) ST (Switch traversal) 例 ) ルータ (a) からルータ (c) C HEAD RC VSA ST RC VSA ST RC VSA ST DATA 1 SA ST SA ST SA ST DATA 2 SA ST SA ST SA ST DATA 3 SA ST SA ST SA ST ヘッダがルータ (a) に注入され ELAPSED, データ3TIME がルータ [CYCLE] (c) を通過するまで12サイクル

41 オンチップルータ : Look-ahead 型ルータ 衝突しなければ 3 cycle でヘッダがルータを通過 NRC (Next routing computation) VSA (Virtual channel / switch allocation) ST (Switch traversal) NRC: 次ルータの RC を実行 ( 自ルータの RC は手前のルータに任せる ) NRC が終わらなくても VSA C HEAD NRC VSA ST NRC VSA ST NRC VSA ST DATA 1 SA ST SA ST SA ST DATA 2 SA ST SA ST SA ST DATA 3 SA ST SA ST SA ST ELAPSED TIME [CYCLE] ルータ (b) の出力ポートはルータ (a) が決め, ルータ (c) の出力ポートはルータ (b) が

42 オンチップルータ : 低遅延ルータ 衝突しなければ 2 cycle でヘッダがルータを通過 NRC + VSA (Next routing computation / switch allocation) ST (Switch traversal) NRC と VSA に依存性がないので並列実行できる 2 サイクル転送 HEAD ROUTER A NRC VSA ST ROUTER B ROUTER C NRC VSA ST NRC VSA ST W. Dally, Principles and Practices of Interconnection Networks (2004) DATA 1 DATA 2 DATA ELAPSED TIME [CYCLE] ヘッダがルータ (a) に注入され, データ 3 がルータ (c) を通過するまで 9 サイクル

43 オンチップルルータ : 消費電力の解析 90nm で配置配線し, 200MHz でシミュレーション ルータアーキテクチャのまとめ ルータの全ポートのうち 5 0 個のポートが使用中, 使われているポートの数 ( スタンバイ時 ) の消費電力 ( 最大帯域の@ 30% 200MHz 負荷 ) 考慮すべきは, 面積 ( バッファ ), パイプライン段数, 消費電力 パイプライン段数を減らす 通信遅延が減る 消費電力の削減が重要 Dynamic Total leakage (55.0%) Buffers leakage (49.4%) 200MHz 動作電圧を下げる 常に漏れ出す ( リーク ) 電流 電力供給自体を止める

44 理解度クイズ 授業アンケート 当日配布

ご連絡 NoC generator のソースコードを見るには, router tar.bz2 さらに NoC の Verilog コードを生成するには, Perl コマンドが必要 さらに

ご連絡 NoC generator のソースコードを見るには,   router tar.bz2 さらに NoC の Verilog コードを生成するには, Perl コマンドが必要 さらに Network-on-Chip 最前線 ~ 研究の始め方から最新動向まで ~ 松谷 @ 慶應 Revised version (Aug 21, 2008) ご連絡 NoC generator のソースコードを見るには, http://www.am.ics.keio.ac.jp/~matutani/papers/ router-20080808.tar.bz2 さらに NoC の Verilog コードを生成するには,

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 計算機アーキテクチャ第 11 回 マルチプロセッサ 本資料は授業用です 無断で転載することを禁じます 名古屋大学 大学院情報科学研究科 准教授加藤真平 デスクトップ ジョブレベル並列性 スーパーコンピュータ 並列処理プログラム プログラムの並列化 for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } プログラムの並列化 x[0] = a[0] + b[0];

More information

スライド 1

スライド 1 講義用の計算機の使い方 計算機アーキテクチャ特論 (Advanced Computer Architectures) マルチコアプロセッサ 吉瀬謙二計算工学専攻 kise _at_ cs.titech.ac.jp www.arch.cs.titech.ac.jp W831 講義室木曜日 9:00 10:30 ユーザ名 advance で serv.arch.cs.titech.ac.jp にログイン

More information

160311_icm2015-muramatsu-v2.pptx

160311_icm2015-muramatsu-v2.pptx Linux におけるパケット処理機構の 性能評価に基づいた NFV 導 の 検討 村松真, 川島 太, 中 裕貴, 林經正, 松尾啓志 名古屋 業 学 学院 株式会社ボスコ テクノロジーズ ICM 研究会 2016/03/11 研究 的 VM 仮想 NIC バックエンド機構 仮想化環境 仮想スイッチ パケット処理機構 物理環境 性能要因を考察 汎 IA サーバ NFV 環境に適したサーバ構成を検討

More information

1 / 91 改変 再配布禁止 メニーコア / ネットワークオンチップ の基礎と組込みシステムへの 応用 ( 前編 ) 鯉渕道紘国立情報学研究所

1 / 91 改変 再配布禁止 メニーコア / ネットワークオンチップ の基礎と組込みシステムへの 応用 ( 前編 ) 鯉渕道紘国立情報学研究所 1 / 91 メニーコア / ネットワークオンチップ の基礎と組込みシステムへの 応用 ( 前編 ) 鯉渕道紘国立情報学研究所 自己紹介 ( 計算機システム NW) 2005 年国立情報学研究所入所 ( 鯉渕研立ち上げ ), 現在に至る ( 構成 : 計 3 名 ) http://researh.nii.a.jp/~koibuhi/ メニーコア ネットワークオンチップ スパコン / データセンターのインターコネクト

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 加藤真平計算機アーキテクチャ特論 計算機アーキテクチャ特論後半第 1 回最先端アーキテクチャのトレンド 本資料は授業用です 無断で転載することを禁じます 講師加藤真平 前半の趣旨 : 並列化プログラミング for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } シングルプロセッサ マルチプロセッサ x[0]=a[0]+b[0]; x[1]=a[1]+b[1];

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ソフトウェアパケット処理とハードウェアパケット処理 ~ アーキテクチャ ~ JANOG 37 @ 名古屋 海老澤健太郎 ebiken.g@gmail.com Twitter: @ebiken Janog 37 ソフトウェア & ハードウェアパケット処理 Kentaro Ebisawa 2016/01/22 1 自己紹介 : 海老澤健太郎 (Kentaro Ebisawa) ネットワーク系 海外 NW

More information

2) 3) 3 4)5) 6)7) SiP LSI CPU SoC LSI LSI LSI. 2 3 NoC Network-on-Chip NoC ) 3) 8) 4) SoC CMOS 8GHz BER Bit Error Rate 7) 0.14pJ

2) 3) 3 4)5) 6)7) SiP LSI CPU SoC LSI LSI LSI. 2 3 NoC Network-on-Chip NoC ) 3) 8) 4) SoC CMOS 8GHz BER Bit Error Rate 7) 0.14pJ NoC NoC 200MHz 4GHz 33.8mW NoC Packet Transfer Networks for 3-D Stacked Chips with Inductive Coupling Daisuke Sasaki, Hiroki Matsutani, Yasuhiro Take, Yuki Ono, Yukinori Nishiyama, Tadahiro Kuroda and

More information

Microsoft PowerPoint _ncessympotakada [互換モード]

Microsoft PowerPoint _ncessympotakada [互換モード] 第 3 回 NCES シンポジウム 宇宙機向けソフトウェアプラットフォーム (SpaceWire OS) の開発 212 年 1 月 1 日高田光隆附属組込みシステム研究センター研究員 mtakada@nces.is.nagoya-u.ac.jp 1 宇宙機向けソフトウェアプラットフォームの開発目次 SpaceWire について SpaceWire OSプロジェクトの趣旨 活動 リアルタイム性保証の検討

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F Vol. 42 No. 4 Apr. 2001 VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating Frequencies Maki Horita, Tsutomu Yoshinaga, Kanemitsu

More information

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード]

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード] 演算 / メモリ性能バランスを考慮した CMP 向けオンチップ メモリ貸与法の提案 九州大学 林徹生今里賢一井上弘士村上和彰 1 発表手順 背景 目的 演算 / メモリ性能バランシング 概要 アクセスレイテンシの削減とオーバーヘッド 提案手法の実現方法 着目する命令 (Cell プロセッサへの ) 実装 性能評価 姫野ベンチマーク Susan@MiBench おわりに 2 チップマルチプロセッサ (CMP)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション VTN Manager の内部実装 2014-10-29 NEC ソフトウェア構成 VTN はAD-SAL Applicationとして実装 今後 MD-SALに移行予定 管理対象スイッチはOpenFlowスイッチのみ OpenFlow 1.0/1.3に対応 VTN Manager Switch Manager Topology Manager Routing Forwarding Rules Manager

More information

目的 システムLSIの電力性能比 ( 性能 / 電力 ) 向上 背景 : 通勤電車のひとコマ 一昔前 今は 社会全体が要求する情報処理能力の飛躍的増大 CREST ULP 領域公開シンポジウム ( 東京大学中村宏 ) 2012/11/30 2

目的 システムLSIの電力性能比 ( 性能 / 電力 ) 向上 背景 : 通勤電車のひとコマ 一昔前 今は 社会全体が要求する情報処理能力の飛躍的増大 CREST ULP 領域公開シンポジウム ( 東京大学中村宏 ) 2012/11/30 2 回路 ~ アーキテクチャ ~ システムソフトウェア協調で実現する低消費電力化技術 プロジェクト名 : 革新的電源制御による次世代超低電力高性能システム LSI の研究 中村宏 ( 東京大学 ) 宇佐美公良 ( 芝浦工業大学 ) 天野英晴 ( 慶應義塾大学 ) 近藤正章 ( 電気通信大学 ) 並木美太郎 ( 東京農工大学 ) 黒田忠広 ( 慶應義塾大学 ) 目的 システムLSIの電力性能比 ( 性能

More information

Microsoft PowerPoint - about_stack_ ppt [互換モード]

Microsoft PowerPoint - about_stack_ ppt [互換モード] 6LoWPAN ECHONET Lite で求められる通信規格とソフトウェアについて 株式会社スカイリー ネットワークス梅田英和 2013, Skyley Networks,Inc. 1 プロトコル スタック とは スタック = 積み重ね 階層構造 アプリケーション トランスポート層 (TCP, UDP) IPv6 層 6LowPAN MAC 層 (802.15.4/e) 物理層 (802.15.4g)

More information

情報オープンイノベーションを可能とするサービス指向ルータを基盤とした価値創造ネットワークの研究開発 について 1. 研究開発の目標本研究では,(i) サービス指向ルータ (Service-oriented Router: SoR) を提案し,(ii) SoR による新世代ネットワークがもたらす価値創

情報オープンイノベーションを可能とするサービス指向ルータを基盤とした価値創造ネットワークの研究開発 について 1. 研究開発の目標本研究では,(i) サービス指向ルータ (Service-oriented Router: SoR) を提案し,(ii) SoR による新世代ネットワークがもたらす価値創 情報オープンイノベーションを可能とするサービス指向ルータを基盤とした価値創造ネットワークの研究開発 について 1. 研究開発の目標本研究では,(i) サービス指向ルータ (Service-oriented Router: SoR) を提案し,(ii) SoR による新世代ネットワークがもたらす価値創造の仕組みと, その価値を定量的に示し,(iii) SoR の基本アーキテクチャ, さらには SoR

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

router_cachehit.eps

router_cachehit.eps 人気度推定を用いたキャッシュ方式とネットワーク誘導型キャッシュ発見方式の融合 柳生智彦 (NEC / 電通大 ), 藤井厚太朗 ( 電通大 ) 情報指向ネットワーク技術時限研究会 2015/4/7 研究背景 増加するトラフィック モバイルデータトラヒック総量は 5 年間で 10 倍に [1] WEB やビデオなどコンテンツ流通が大半 現在, コンテンツ流通はトラヒックの約半分で毎年 69% 増加 増え続けるトラヒックへ対応

More information

アライドテレシス・コアスイッチ AT-x900 シリーズ で実現するエンタープライズ・VRRPネットワーク

アライドテレシス・コアスイッチ AT-x900 シリーズ で実現するエンタープライズ・VRRPネットワーク 主な目的 信頼性 可用性の高いネットワークを構築したい 標準技術を使って冗長化したい 既存機器を流用しつつ コアスイッチを入れ替えたい 概要 一般的なスター型ネットワークを標準技術を使用して構築する構成例です スター型のネットワークは オフィスビルの既存フロア間配線を流用することで 機器のリプレースだけでネットワークをアップグレードできるメリットがあり 現在主流のネットワークトポロジの一つです この構成例では

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 名城大学渡邊研 B4 100430100 早川顕太 1 文献 Analysis of TCP Performance over Mobile Ad Hoc Networks 著者 Gavin Holland Nitin Vaidya 発行元 Kluwer Academic Publishers 発行 2002 年 2 研究背景 DSRプロトコルの解説 TCP-Renoのスループット解析 スループットの理論値

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

計算機アーキテクチャ特論 後半第2回 アウトオブオーダー実行 Out-of-Order Execution

計算機アーキテクチャ特論 後半第2回  アウトオブオーダー実行 Out-of-Order Execution 計算機アーキテクチャ特論 後半第 2 回 アウトオブオーダー実行 Out-of-Order Execution 講師加藤真平 本資料は授業用です 無断で転載することを禁じます 前回の理解度クイズ 問 1 マルチコア (CMP) 化が進んだ理由を簡潔に述べよ 答え消費電力や発熱の問題により 単一プロセッサの動作周波数を上げることができなくなったため 複数のプロセッサコアを並べることで性能を改善するようになった

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 4. メモリ管理 (1) 概要メモリ管理の必要性静的メモリ管理と動的メモリ管理スワッピング, 仮想記憶ページングとセグメンテーション 2008/5/ 20 メモリ管理 (1) 1 メモリはコンピュータの 5 大構成要素 装置 ( キーボード, マウス ) CPU ( 中央演算装置 ) 出 装置 ( モニタ, プリンタ ) 主記憶装置 ( メインメモリ ) 外部記憶装置 (HDD) 2008/5/ 20

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか

More information

10-vm1.ppt

10-vm1.ppt オペレーティングシステム ~ 仮想記憶 (1) ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/06/19 OS の目的 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと メモリをアプリケーション自身が管理しなければならない

More information

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 fatec-ood-2017@dl.jp.fujitsu.com 0 背景 リアルタイム性が必要な分野への適用 5G( 低遅延 ) による新たなサービス展開 ゲーム VoIP 動画医療金融車載 遅延がサービス品質に直結 End-to-End

More information

本文ALL.indd

本文ALL.indd Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法河辺峻田口成美古谷英祐 Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法 Performance Measurement Method of Cache Coherency Effects on an Intel Xeon Processor System 河辺峻田口成美古谷英祐

More information

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ ホワイトペーパー BladeSymphony Virtage SR-IOV のご紹介 2014 年 7 月発行 株式会社日立製作所 1 / 8 Copyright 2014 Hitachi, Ltd. All rights reserved 目次 1 はじめに... 3 1.1 登録商標 商標... 3 1.2 注意事項... 3 1.3 免債事項... 3 2 SR-IOV の機能概要... 4

More information

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

スライド 0

スライド 0 TBWG-15-02 仮想化ネットワークによる分散と統合 IP 仮想化サービスにおける論理的管理仮想化ルータ提供プラットフォームの研究開発 2012/04/12 Agenda 背景 仮想化とは? 仮想化のメリット ネットワーク仮想化とは 本共同研究の目的 動機 目的と目標 GINEW システム 仮想化制御システム 資源の抽象化 パスの制御 実証実験 さっぽろ雪まつり 2013 現状と今後 まとめ 2012/04/12

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

スライド 1

スライド 1 Nehalem 新マイクロアーキテクチャ スケーラブルシステムズ株式会社 はじめに 現在も続く x86 マイクロプロセッサマーケットでの競合において Intel と AMD という 2 つの会社は 常に新しい技術 製品を提供し マーケットでのシェアの獲得を目指しています この技術開発と製品開発では この 2 社はある時は 他社に対して優位な技術を開発し 製品面での優位性を示すことに成功してきましたが

More information

CPUスケジューリング

CPUスケジューリング 5-6 プロセス管理と CPU スケジューリング 1 多重プログラミングの概念 CPU を無駄なく使いたい ジョブ A ジョブ B 開始遊休状態 : 入力 開始遊休状態 : 入力 遊休状態 : 入力 遊休状態 : 入力 停止 停止 図 4.1 二つの上部 A,B の実行 2 多重プログラミングの概念 ジョブ A 開始遊休状態 : 入力 遊休状態 : 入力 停止 ジョブ B 待ち 開始遊休状態 : 入力

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Oracle GRID Center Flash SSD + 最新ストレージと Oracle Database で実現するデータベース統合の新しい形 2011 年 2 月 23 日日本オラクル Grid Center エンジニア岩本知博 進化し続けるストレージ関連技術 高速ストレージネットワークの多様化 低価格化 10GbE FCoE 8Gb FC ディスクドライブの多様化および大容量 / 低価格化

More information

第1回 ネットワークとは

第1回 ネットワークとは 第 6 回 IP 計算機ネットワーク ルーティング IP パケットの宛先に応じて次の転送先インターフェースを決定 D:192.168.30.5 パケット 192.168.10.0/24 fe0 192.168.20.0/24 fe1 fe3 fe2 192.168.30.0/24 ルーティングテーブル 192.168.40.0/24 192.168.10.0 direct fe0 192.168.20.0

More information

ループ防止技術を使用して OSPFv3 を PE-CE プロトコルとして設定する

ループ防止技術を使用して OSPFv3 を PE-CE プロトコルとして設定する ループ防止技術を使用して OSPFv3 を PE-CE プロトコルとして設定する 目次 概要前提条件要件使用するコンポーネント背景説明設定ネットワーク図設定 DN ビット確認トラブルシューティング Cisco サポートコミュニティ - 特集対話 概要 このドキュメントでは Open Shortest Path First (1 バージョン 3 (OSPFv3) " を プロバイダーエッジ (PE )

More information

Microsoft PowerPoint - t-kubo07PN-LAMBDA-slide.ppt

Microsoft PowerPoint - t-kubo07PN-LAMBDA-slide.ppt リングネットワークにおける λコンピューティング環境に適した共有メモリアーキテクチャの設計と設計と評価 大阪大学大学院情報科学研究科大学院情報科学研究科村田研究室久保貴司 発表内容 研究の背景と目的 λコンピューティング環境 共有メモリアーキテクチャの設計と評価 設計 トポロジ メモリアクセスモデル キャッシュとメモリの一貫性制御 モデル化と解析 評価 まとめ 2007/6/14 PN 研究会 1

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

高速バックボーンネットワークにおける公平性を考慮した階層化パケットスケジューリング方式

高速バックボーンネットワークにおける公平性を考慮した階層化パケットスケジューリング方式 Advanced Network Architecture Research Group 高速バックボーンネットワークにおける 公平性を考慮した 階層化パケットスケジューリング方式 大阪大学大学院基礎工学研究科情報数理系専攻博士前期課程 牧一之進 発表内容 研究の背景 研究の目的 階層化パケットスケジューリング方式の提案 評価モデル シミュレーションによる評価 まとめと今後の課題 研究の背景 インターネットのインフラ化

More information

NOCS2014 Slides

NOCS2014 Slides Design of a Low Power NoC Router using Marching Memory Through type 1 1 1 Ryota Yasudo, Takahiro Kagami, Hideharu Amano, Yasunobu Nakase, 2 2 2 1 Masashi Watanabe, Tsukasa Oishi, Toru Shimizu, Tadao Nakamura

More information

スライド 1

スライド 1 1 コンピュータの運用形態の移り変わり バッチ処理 TSS 処理 1 コンピュータ分散処理 インターネット処理 3 4 ネットワーク処理 2 リング型 ネットワークを構成する各種機器 バス型 スター型 3 LAN 構築に必要な基本パーツ ネットワーク OS はネットワークで接続されたコンピュータ同士の情報交換などを可能とします コンピュータを LAN に接続するためには LAN カード / ボードが必須です

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Foundation アプライアンス スケーラブルシステムズ株式会社 サーバ クラスタの課題 複数のシステムを一つの だけで容易に管理することは出来ないだろうか? アプリケーションがより多くのメモリを必要とするのだけど ハードウエアの増設なしで対応出来ないだろうか? 現在の利用環境のまま 利用できるコア数やメモリサイズの増強を図ることは出来ないだろうか? 短時間で導入可能で また 必要に応じて 柔軟にシステム構成の変更が可能なソリューションは無いだろうか?...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LAN 1. LAN,. NAT,., LAN. NTMobile Network Traversal with Mobilty [1]. NTMobile. OS TUN/TAP, LAN. 2. NTMobile NTMobile NAT, IPv4/IPv6,,. NTMobile. DC Direction Coordinator. NTMobile. DC,. NTMobile NTMfw.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

初めてのBFD

初めてのBFD 初めての - ENOG39 Meeting - 2016 年 7 月 1 日 株式会社グローバルネットコア 金子康行 最初に質問? もちろん使ってるよ! という人どれくらいいます? 2 を使うに至った経緯 コアネットワークの機器リプレイスをすることに 機器リプレイスとともに 構成変更を行うことに 3 コアネットワーク ( 変更前

More information

Microsoft Word ●MPI性能検証_志田_ _更新__ doc

Microsoft Word ●MPI性能検証_志田_ _更新__ doc 2.2.2. MPI 性能検証 富士通株式会社 志田直之 ここでは,Open MPI および富士通 MPI を用いて,MPI 性能の評価結果について報告する 1. 性能評価のポイント MPI の性能評価は, 大きく 3 つに分けて評価を行った プロセス数増加に向けた検証 ノード内通信とノード間通信の検証 性能検証 - 連続データ転送 - ストライド転送 2. プロセス数増加に向けた検証 評価に用いたシステムを以下に示す

More information

Cloud[2] (48 ) Xeon Phi (50+ ) IBM Cyclops[9] (64 ) Cavium Octeon II (32 ) Tilera Tile-GX (100 ) PE [11][7] 2 Nsim[10] 8080[1] SH-2[5] SH [8

Cloud[2] (48 ) Xeon Phi (50+ ) IBM Cyclops[9] (64 ) Cavium Octeon II (32 ) Tilera Tile-GX (100 ) PE [11][7] 2 Nsim[10] 8080[1] SH-2[5] SH [8 1600 1,a) 1,b) 8080 SH-2 8080 SH-2 Simulation of a Many-Core Architecture with 16 Million Processing Cores Hisanobu Tomari 1,a) Kei Hiraki 1,b) Abstract: 8080 and SH-2 processors are evaluated as building

More information

外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティング ループ/最適でないルーティングの設定例

外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティング ループ/最適でないルーティングの設定例 外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティングループ / 最適でないルーティングの設定例 目次 はじめに前提条件要件使用するコンポーネント背景説明重要な情報 RFC 1583 セクション 16.4.6 からの抜粋 RFC 2328 セクション 16.4.1 からの抜粋設定シナリオ 1 ネットワーク図シナリオ 2 ネットワーク図推奨事項確認トラブルシューティング関連情報

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

EB-RL7023+SB/D2

EB-RL7023+SB/D2 RL7023 Stick/IPR ユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :2.0 2014/9/30-1 - 目次 1 本書の概要... 3 2 PC 動作環境の説明... 4 3 USB ドライバのインストール... 4 3.1 RL7023 Stick の接続... 4 3.2 USB ドライバのインストール... 4 3.3 USB ドライバのダウンロード... 5 4

More information

V8_教育テキスト.dot

V8_教育テキスト.dot 1.1 Universal Volume Manager 概要 1.1.1 Universal Volume Manager とは Universal Volume Manager は VSP ファミリーに 機種の異なる複数のストレージ ( 外部ストレージ と呼ぶ ) を接続機能です 外部ストレージ接続時 Universal Volume Manager はこの外部ストレージをストレージシステムの内部ストレージ

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 18 回ハザードとその解決法 2014 年 10 月 17 日 電気情報工学科 田島孝治 1 授業スケジュール ( 後期 ) 2 回 日付 タイトル 17 10/7 パイプライン処理 18 10/17 ハザードの解決法 19 10/21 並列処理 20 11/11 マルチプロセッサ 21 11/18 入出力装置の分類と特徴 22 11/25 割り込み 23 12/2 ネットワークアーキテクチャ

More information

新技術説明会 様式例

新技術説明会 様式例 1 コンピュータの建築技術 : 光無線 水没 チップレイアウト 国立情報学研究所アーキテクチャ科学研究系 准教授 鯉渕道紘 一部のスライドは 共同研究者の藤原一毅博士 (NICT) 松谷宏紀講師 ( 慶應大 ) 中野浩嗣教授 ( 広大 ) の原図を拡張したものである 2 技術 1: 光無線 鯉渕道紘 藤原一毅 (NII), 特願 2014-10617 [ 情報処理装置用ネットワークシステム ] (2014.1.23

More information

14 D033 CR:16 15 E015 CR:18 16 E060 CR:15 17 D038 18 E059 19 E062 20 E063 21 E066 22 E064 23 E065 24 d031 25 E053 26 E048 27 E049 28 B009 29 D039 30 D

14 D033 CR:16 15 E015 CR:18 16 E060 CR:15 17 D038 18 E059 19 E062 20 E063 21 E066 22 E064 23 E065 24 d031 25 E053 26 E048 27 E049 28 B009 29 D039 30 D No QUEST CR 0 E051 1 E092 2 E093 3 E098 4 E099 5 D040 6 E100 7 E014 CR:14 ( 8 E010 9 E109 10 E045 11 E044 12 E028 13 E043 1 14 D033 CR:16 15 E015 CR:18 16 E060 CR:15 17 D038 18 E059 19 E062 20 E063 21

More information

IP時代のトランスポート:FLASHWAVE

IP時代のトランスポート:FLASHWAVE IP FLASHWAV Next-generation Transport Solution: FLASHWAV IT FLASHWAV IP 2 10 Gbps / 2 FLASHWAV2750 IP IP Abstract Fujitsu s FLASHWAV family of phonic transport products covers a broad range of applications

More information

Microsoft PowerPoint - 6-盛合--日文.ppt

Microsoft PowerPoint - 6-盛合--日文.ppt CLEFIA Sony s s Lightweight Block Cipher Shiho Moriai Sony Corporation 1 目次 ソニーにおける暗号技術 ソニーのブロック暗号 :CLEFIA 設計の背景 アルゴリズム仕様 設計方針 実装性能評価 まとめ 2 ソニーにおける暗号技術 暗号 / 情報セキュリティ技術搭載製品の増加 各種暗号アルゴリズム 著作権保護 機器認証 電子マネー

More information

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx Dolphin Express による 10Gbps ソケット通信 Dolphin Express は 標準的な低価格のサーバを用いて 強力なクラスタリングシステムが構築できる ハードウェアとソフトウェアによる通信用アーキテクチャです 本資料では Dolphin Express 製品の概要と 実際にどの程度の性能が出るのか市販 PC での実験結果をご紹介します Dolphin Express 製品体系

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

h-hwang11phdthesis-RealizingName.pptx

h-hwang11phdthesis-RealizingName.pptx 黄 大 大 大 用目 手 一 大 高 士 文 目 士 文 比 士 文 士 文 士 文 黄 山 一 田 比 用 子 黄 山 一 田 力 子 士 文 12.1.19 本章の背景および目的 提案手法の概要 高速なパケット転送 分類のために使用されている TCAM の問題点 高い消費電力 チップのコスト アクセス制御リスト (access control list; ACL) フィールドの中 ポート番号の範囲表現問題

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

インターネット,LAN,ネットワークに関する知識

インターネット,LAN,ネットワークに関する知識 第 9 回インターネット,LAN, ネットワークに関する知識 大学のキャンパス内にはネットワークが敷設されており, 教室, 図書館, 学生ラウンジなどで利用することができる LAN(Local Area Network) とは, 大学のネットワークなどのように, 比較的狭い範囲のネットワークを指す これに対して,LAN 同士を結んで, 遠隔地とデーターを交換することを目的としたネットワークを WAN(Wide

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

Microsoft PowerPoint ppt [互換モード]

Microsoft PowerPoint ppt [互換モード] 第 5 回 IP 計算機ネットワーク IP Internet Protocol Layer 3 ネットワーク層 機能 アドレッシング (IP アドレス ) IP Reachable 到達可能 = インターネット L2ではローカルのみ通信可 ルーティング フラグメント IP パケット IP パケット IP ヘッダ ペイロード イーサネットヘッダ ペイロード FCS 4 14 1500 イーサネットペイロード

More information

PIM-SSMマルチキャストネットワーク

PIM-SSMマルチキャストネットワーク 主な目的 シンプル且つセキュアなを構築したい 帯域を有効活用したネットワークを構築したい 概要 IP カメラや動画コンテンツ VoD( ビデオオンデマンド ) など一つのコンテンツを複数クライアントで共有するマルチキャストの利用が一般的となり それに伴いマルチキャストルーティングの導入も増加しています 多く利用されている PIM-SM では ランデブーポイント (RP) の決定や配信経路の設計など設計が複雑になりがちですが

More information

MIRACLE LoadBalancerを使用したネットワーク構成と注意点

MIRACLE LoadBalancerを使用したネットワーク構成と注意点 MIRACLE LoadBalancer を使用したネットワーク構成と注意点 ミラクル リナックス 2015/02/13 Agenda ネットワーク接続パターン パケット転送方式 NATオプション注意点 負荷分散方式 固定化方式 Cookieオプション注意点 2 ネットワーク構成パターン パフォーマンス ダイレクトサーバーリターン (DSR) 対障害性 対応レイヤ 備考 接続パターン 1 冗長無し

More information

F コマンド

F コマンド この章では コマンド名が F で始まる Cisco Nexus 1000V コマンドについて説明します find 特定の文字列で始まるファイル名を検索するには find コマンドを使用します find filename-prefix filename-prefix ファイル名の最初の部分または全体を指定します ファイル名のプレフィクスでは 大文字と小文字が区別されます なし 任意 変更内容このコマンドが追加されました

More information

Microsoft PowerPoint - OS12.pptx

Microsoft PowerPoint - OS12.pptx # # この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました パワーポイント 7 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です # 主記憶管理 : ページ置き換え方式

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集 地盤環境モニタリングの広域化とコスト低減のための無線センサネットワークの実用化に関する検討 地球工学研究所地圏科学領域池川洋二郎 Email:ikegawa@criepi.denken.or.jp 1 背景と目的 背景 : 豪雨, 地震などによる斜面災害に対する維持管理や減災技術の適用による効果や機能をモニタリングにより評価することが重要である. 必要性 : モニタリングの広域化と, 低コスト化が可能な技術開発が望まれる.

More information

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E >

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E > 多重伝送と多重アクセス コミュニケーション工学 A 第 4 章 多重伝送と多重アクセス 多重伝送周波数分割多重 (FDM) 時分割多重 (DM) 符号分割多重 (CDM) 多重アクセス 多重伝送 地点から他の地点へ複数チャネルの信号を伝送するときに, チャネル毎に異なる通信路を用いることは不経済である. そこでつの通信路を用いて複数チャネルの信号を伝送するのが多重伝送である. 多重伝送の概念図 チャネル

More information

公平なネットワーク利用を実現する スケーラブルな パケットスケジューリング方式

公平なネットワーク利用を実現する スケーラブルな パケットスケジューリング方式 公平なネットワーク利用を実現するスケーラブルなパケットスケジューリング方式 Hierarchically Aggregated Fair Queuing (HAFQ) for Per-flow Fair Service in High-speed Networks 大阪大学大学院基礎工学研究科情報数理系専攻博士前期課程 2 年牧一之進 2018/8/28 信学会全国大会 1 発表内容 研究の背景 研究の目的

More information

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 PC PC PC PC PC Key Words:Grid, PC Cluster, Distributed

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 5. 命令セットアーキテクチャ ( 教科書 6.1 節, 6.2 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域

More information

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャ プロセッサロードマップ 2000 年第 4 四半期 2001 年上半期 サーバ / インテル Pentium III インテル Itanium ワークステーション Xeon プロセッサプロセッサ パフォーマンスインテル

More information

マルチコア時代の並列プログラミング

マルチコア時代の並列プログラミング マルチコア時代の 並列プログラミング ~ ロックとメモリオーダリング ~ 中村実 nminoru@nminoru.jp http://www.nminoru.jp/~nminoru/ まずは自己紹介を 電機メーカー勤務のエンジニア Java VM 特に並列 GC JIT コンパイラの研究 開発 Java 系雑誌にときどき寄稿 最近は IA-64 と戯れる日々 趣味で Web に細々とプログラミングのメモを綴る日々

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing FINAL PROGRAM 22th Annual Workshop SWoPP 2009 2009 / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2009 8 4 ( ) 8 6 ( ) 981-0933 1-2-45 http://www.forestsendai.jp

More information

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx データ値の局所性を利用した ライン共有キャッシュの提案 九州大学大学院 岡慶太郎 福本尚人 井上弘士 村上和彰 1 キャッシュメモリの大容量化 マルチコア プロセッサが主流 メモリウォール問題の深刻化 メモリアクセス要求増加 IOピンの制限 大容量の LL(Last Level) キャッシュを搭載 8MB の L3 キャッシュを搭載 Core i7 のチップ写真 * * http://www.atmarkit.co.jp/fsys/zunouhoudan/102zunou/corei7.html

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft PowerPoint - ICD-ARCパネル

Microsoft PowerPoint - ICD-ARCパネル ICD-ARC 共 催 研 究 会 パネル 討 論 新 時 代 におけるマルチコア 戦 略 ( 株 ) 東 芝 セミコンダクター 社 2008 年 5 月 13 日 斎 藤 光 男 プロセッサ 周 波 数 の 年 代 別 の 伸 び 10000 CISCプロセッサの 時 代 アウトオブオーダーの 導 入 3.2GHz P4 3.0GHz P4 3.8GHz P4 3.4GHz 3.6GHz P4 P4

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 メモリ管理 (1) 第 x 講 : 平成 20 年 10 月 15 日 ( 水 ) 2 限 S1 教室 今日の講義概要 メモリ管理の必要性 静的メモリ管理と動的メモリ管理 スワッピング, 仮想記憶 ページングとセグメンテーション 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/

More information

Microsoft PowerPoint - CCS学際共同boku-08b.ppt

Microsoft PowerPoint - CCS学際共同boku-08b.ppt マルチコア / マルチソケットノードに おけるメモリ性能のインパクト 研究代表者朴泰祐筑波大学システム情報工学研究科 taisuke@cs.tsukuba.ac.jp アウトライン 近年の高性能 PC クラスタの傾向と問題 multi-core/multi-socket ノードとメモリ性能 メモリバンド幅に着目した性能測定 multi-link network 性能評価 まとめ 近年の高性能 PC

More information

_JANOG44_LINE_tsuchiya

_JANOG44_LINE_tsuchiya Next Data Center Networking with SRv6 - Data plane - Toshiki Tsuchiya, LINE Corporation 2019/07/26 JANOG44 Meeting Agenda LINEのサービスとネットワーク データプレーン コントロールプレーン LINE LINE 現状のネットワークと課題 Full L3 CLOS Network

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

概要

概要 CHAPTER 1 この章では Cisco NX-OS のマルチキャスト機能について説明します この章は 次の内容で構成されています (P.1-1) マルチキャスト機能のライセンス要件 (P.1-10) その他の関連資料 (P.1-11) IP マルチキャストは ネットワーク内の複数のホストに同じ IP パケットセットを転送する機能です IPv4 ネットワークで マルチキャストを使用して 複数の受信者に効率的にデータを送信できます

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

umeda_1118web(2).pptx

umeda_1118web(2).pptx 選択的ノード破壊による ネットワーク分断に耐性のある 最適ネットワーク設計 関西学院大学理工学部情報科学科 松井知美 巳波弘佳 選択的ノード破壊によるネットワーク分断に耐性のある最適ネットワーク設計 0 / 20 現実のネットワーク 現実世界のネットワークの分析技術の進展! ネットワークのデータ収集の効率化 高速化! 膨大な量のデータを解析できる コンピュータ能力の向上! インターネット! WWWハイパーリンク構造

More information