Microsoft PowerPoint - invited-namiki.ppt [互換モード]

Size: px
Start display at page:

Download "Microsoft PowerPoint - invited-namiki.ppt [互換モード]"

Transcription

1 省電力計算機アーキテクチャ と OS の資源管理 ~ 細粒度 PG アーキテクチャと OS の連携 ~ 並木美太郎 ( 東京農工大学 ) ARC&ICD 1

2 話者の生まれと育ち 1983 年から OS 屋 プログラミングやソフトに興味を持っていた 特に プログラムの実行基盤としての OSなどのシステムソフトウェア OS 以外にも コンパイラ インタプリタ ライブラリ ウィラリ ウィンドウシステム プロトコルスタックなどのシステムソフト UIなども 横断分野では 並列分散処理 情報教育なども ハードは好き ( はんだごて ラッピング...) 去年まで IPSJ OS 研の主査... 2

3 アプリリ ーションーションライブラリ OS~ 偉大なる中間管理職 ~ ケアプリケーション アプーショケリケアン( ハードウェア独立 ) ケリプ( ハドウェア独立 ) 応用プログラム層 資源管理層 プロセス管理 ファイルシステム メモリ管理 ウィンドウシステム プロトコルスタック OS 層 ハードウェア CPU 主記憶 入出力装置 ARC&ICD 3

4 OS の使命 ハードウェアなどの仮想化 :CPU メモリ I/O 資源管理 : 最適割当て 保護 本質は概念創出 方式として汎化 これらを APIとして AP( 応用プログラム ) に提供すること 応用層の知識は必須 ハードウェアドウェア 計算機アーキテクチャを知らないとできない 自分はこの両方ができるから面白いと思った ハード ソフトって分類 関係ないよね ( 人によってはソフトで仮想化と美の極致 ) 4

5 中間管理職は... アプリーション ケプロセス管理 アプリケーションアアププリアプリケリケケーーシションョファイルシステム メモリ管理 ウィンドウシステム プロトコルスタック 新しい応用 ニーズ ン実行モデル 資源モデル システムコー応用プログラム層ルの仕様 意味... ライブラリ応用ソフトの安定性 継続性ある意味 保守 OS 層 的でストイック 変革 ハードウェア CPU 主記憶 入出力装置 新しいアーキテクチャハードウェア ARC&ICD 5

6 近年の OS 研究のトレンド 上位層から クラウド セキュリティ 分散 VMM( 仮想化 ) モバイル ユビキタス 携帯 センサ系 計算機アーキテクチャから マルチコア メニーコア HPC 組込み 省電力 ARC&ICD 6

7 電源 ON/OFF クロック 電圧 割当て 高速小容量高消費電力 vs 低速大容量低消費電力 どう組み合わせて隠蔽するかバランスさせるか どう調停するか ARC&ICD 7

8 計算機の電源管理 単位 : ラック マシン全体 CPU/ メモリ /IO などの構成部品 個々の構成要素 構成要素の挙動特性 ユースケース 時間粒度 空間粒度 階層によって異なる 研究分野でも切れている ARC&ICD 8

9 階層ごとの特徴 : 役割分担は変わる 制御できる時間粒度空間粒度 数秒 数日 数年 100μS (10K 命令以上 ) 数 100KB ~ 数 TB 以上 ページ ( 数 KB) 単位 マクロ 効果 システム全体 マシンのみ アプリアプー ケションライブラリ プロセスファイルメモリウィンドウプロトコル管理システム管理システムスタック リ センサ ユーザの行動特性の利用 APごとの省電力アルゴリズム APごとの資源の個別最適化 コンパイラによる最適化コード ーション応用プログラム層ケ ハードウェアの制御 システム全体の挙動監視 動的な資源割当て OS 層 1クロック基本要 ~ 数 10クロック 1bit~ 数 Kbit 基本要素であり 数が多い ミクロ CPU 主記憶回路材料 入出力装置 細粒度 実制御 ハードウェア ARC&ICD 9

10 省電力と OS サーバ系 - DNSラウンドロビン - VMM( 仮想機械モニタ ) を使ったサーバ集約 データセンターで実用化 負荷が少ないときにマシンの電源を落とす 判断はログベース 統計的解析と予測 学習 数分から 1 時間程度の単位 ARC&ICD 10

11 省電力と OS PC 系 :ACPI(Advanced Configuration and Power Interface) の利用 suspendの状態 - ディスプレイ HDD の自動電源断 / 再起動 - 電源制御用の 8bit μp はいつも通電 組込み センサネットワーク系 :TinyOS でも バッテリ駆動の要求から イベント駆動で間欠的なプログラム実行 ARC&ICD 11

12 資源管理からは プロセス実行のDVFS(Dynamic Voltage and Frequency Scaling) 挙動予測から省電力になるよう CPU を DVFS 制御 マルチコア制御 低負荷時のコア電源断 DVFS 制御 これらをサーバ PC 組込みシステム リアルタイムシステムに適用した例 ARC&ICD 12

13 メモリ管理 : 計算機の記憶階層のどこで どうどうやるか ( パネルででも ) μ アーキテクチャでは内部に隠ぺいされた大量の FF 群 高速小容量 1clock 数 10~1KB 以下 1~ 数 clock 数 KB 数 ~ 数 10clock 数 10KB~MB 数 10~clock 数 100M~GB 数 100μs~ 数 10ms 数 100G~TB レジスタ L1 キャッシュメモリ主記憶 2 次記憶 プロセスコンテキスト緩和的フラッシュ L2 プロセス間の相性 L3 従来の OS メモリ管理ファイルシステム 広域ネットワーク上のデータ 低速大容量 13

14 メモリ管理 低電力なキャッシュ割当て SPM(Scratch Pad Memory) の利用 必要な部分を SPM に移動して 主記憶の電源断を行う ARC&ICD 14

15 ファイルシステム SSD(Solid State Drive) の利用 HDD に対するアクセスの高速化 低電力化 ファイルシステム ( ログベース ) ブロックデバイスとして利用 記憶域の有効利用 書込み時間 書換え書換え上限が課題 ARC&ICD 15

16 OS による電力制御 観測と制御 -OS はシステム全体の利用状況はわかる - 資源割当てと調停の全権 トレードオフ 時間の単位は比較的粗粒度 : 1ms( 頑張って 100μs) 記憶の割当てはページサイズ程度 ( 数 KB) 例外 or system call で起動され 実行される これ以上は パフォーマンスカウンタからの観測結果から戦略を決定 ARC&ICD 16

17 今日は何度も出ている式 Pon i= AnCV 2 f + ni leakv P = ΣPon i 空間分割は 構成方式やアーキテクチャ OSやランタイムの分割方式 時間軸制御 : ユニットの on/off 制御 これをソフトとハードでどうするか ARC&ICD 17

18 新しいハードウェアと OS 細粒度 PG Geyser CPU とその OS 演算器での PG 一過的 マルチコア / メニーコアの省電力制御 4~8 コアは AMD Phenom でやってみた アクセラレータ系はいずれまた 状態 記憶系 ノーマリオフ : 状態保持 パネルで ARC&ICD 18

19 OSと省電力アーキテクチャの協調事例 ~ 細粒度 PG の例 ~ ARC&ICD 19

20 戦略的創造研究推進事業 CREST 情報システムの超低消費電力化を目指した技術革新と統合化技術 において 革新的電源制御による次世代超低電力高性能システム LSI の研究 回路 ( 芝工大宇佐美研 ) アーキテクチャ ( 東大中村研 ) アクセラレータ CPU 実装 ( 慶応大天野研 ) コンパイラ ( 東大中村研電通大近藤研 ) OS 並木研担当 回路から OS まで協調 20

21 細粒度 PG Geyser CPU 細粒度 PG(Power Gating) が一つのテーマ Geyser CPU:MIPS R3000 をベース ALU SHIFT MUL DIV の 4 ユニットそれぞれで 1 命令ごとにユニットを使わなければ電源を切る VDD ALU ALU Unit SHIFT MULT MULT Unit DIV ON OFF OFF OFF Sleep Controller ARC&ICD GND 21

22 細粒度 PG の課題 (1) (1) 適切なスリープポリシーの設定 スリープ時の電力モデル オーバヘッド (B,D) よりも削減電力 (E) が大きくなるスリープ期間 ( 損益分岐点 ) を BEP(Break Even Point) と定義 短い期間で ON/OFF 繰返すと 電力増大 PGせず アクティブの方が良い BEPを下回るスリープ (BEPミス) が頻発すると電力ロスにつながる 適切なスリープポリシー コードの利用が必要 ARC&ICD 最小 1 命令サイクルでスリープ 22

23 細粒度 PG の課題 (2) (2) 温度による BEP の変化 リーク電力は温度によって変わる BEP も変わる 実行時に適切なスリープポリシーを選択する必要有 BEP 例 SHIFTのスリープ時間の分布 ARC&ICD 23

24 方針 (1) ソフトとハードの役割分担 - 細粒度は回路とハードで頑張る 粗い流度と比較的長い時間 ( 1ms 程度 ) を OS から制御 - ソフトは観測結果から基本方針 / 戦略の選択制御 - ソフトウェア制御可能な機能を CPU に追加 ARC&ICD 24

25 方針 (2) 実行時 ( 動的 ) 情報を活用 - 静的解析可能なものはコンパイラで 実行時の情報を基に OS が制御 - 実行時情報の収集機能の追加 各種パフォーマンスカウンタ キャッシュヒット / ミスなどのほかに リークモニタ ( 温度 ) PG 統計採取をハード ( ) で追加 ARC&ICD 25

26 Geyser CPU の持つ PG 制御機能 (1) PG 実施方針 ( スリープポリシー ) (1) 動的にパワーゲーティング (2) キャッシュミス時のみスリープ (3) 常にアクティブ ( スリープしない ) スリープポリシーを演算器ごとに制御可能 ソフトウェア (OS) でスリープポリシーを制御 細粒度 PG のインタフェース ( 特権レジスタ : PGStatus) CP0 レジスタの一つとして実装 2bit 2bit 2bit 2bit ALU Shift Mult Div 00 : 動的 PG( 通常ポリシー ) 01 : キャッシュミス時のみスリープ ARC&ICD 11 : 常にアクティブ 26

27 Geyser CPU の持つ PG 制御機能 (2) CP0 の他に R 形式の命令形式でスリープしないものを追加 (Opを別の値でFunctは同じ ) コンパイラの研究用 MIPS では R 形式の Op で ON の命令を追加 add mult sub div add mult sub div off PG off PG ARC&ICD 27

28 Geyser CPU の実装とテストベッド Geyser-0 0,1,2,3 123 と三つのバージョン VDEC で実チップ化 現在 Geyser-3のテスト中 本体は主として慶應大学が実装 並木研で CP0を追加修正 テストと全体の修正 OS 実装 FPGA 上にも移植 : 独自組込みOS( 開聞 ) Linuxが稼働 実チップは I/O 主記憶を FPGA で ARC&ICD 実チップ FPGA 版で OS 開発と評価 28

29 ML501,ML605 Evaluation Platform, Spartan-6 FPGA Geyser Core Cache Memory デバッグ用モジュール群 Performance Counter 群 GLB(Geyser Local Bus) GLB-PLB Bus Bridge PLB(Processor Local Bus) Main Memory Controller SRAM Controller Flash Memory Controller Compact Flash Controller UART Controller GPIO Controller Interrupt Controller Timer デバイスドライバは μblaze 用を流用

30 FPGA 上で実行しながら実時間でデータを採取 OS で活用できる 使用後毎回 PG Shifter ベンチマーク : Blowfish 熱環境 : [ ] リーク電力削減率 : 36.1% BEP 各ユニットの使用頻度 提案手法 BEP 30

31 リークモニタ パフォーマンスカウンタなど リークモニタ ( 担当 : 芝工大 ) はon chip 化 CPUから読出せる設計 PG 状況 : プロセッサ内部のPG 信号線をチップ外まで引き回して 4ユニットのON/OFF 状況を外部から計測可能 FPGA でカウンタ:nクロックのスリープが m 回 の頻度情報 (m=c(n)) を作成 OS から読み出す設計 これら以外も大幅に RTL を修正 OS 屋がアーキテクチャを直接変更できるメリット ( ただし 実チップの配置配線は餅は餅屋 ) ARC&ICD 31

32 細粒度 PG プロセッサ向けの OS 研究 BEPミス率を減らすスケジューラ ( 開聞,Linux) 温度に適応するスケジューラ ( 開聞,Linux*) 温度に適応する最適化コードを選択するOSメモリ管理 ( 開聞,Linux*) 細粒度 PG の効果保証を OS で リアルタイム OS と PG 制御 ( 開聞 *) (* は近日中に発表予定 ) ARC&ICD 32

33 BEP ミス率を減らす OS スケジュ ーラ ARC&ICD 33

34 BEPミス率を勘案した OS スケジ ューラ 電力的に不利となるパワーゲーティングがどれくらいあるかの指標 パワーゲーティングによりスリープした全サイクル数のうち BEP を越えないサイクル数の割合 BEPミス率が大 電力的に不利となる細かい ON/OFF が多い BEP ミス率 = BEPサイクル iサイクルスリープした回数 i i = 1 i i サイクルスリープした回数 i ARC&ICD 34

35 プロセスごとのパワーゲーティン グ制御 プロセスは処理内容によって使用する命令などの特徴に偏りがある ユニットの使用頻度はプロセスによって変化する プロセスごとにパワーゲーティングを制御 プロセスごとにそれぞれスリープポリシを持たせる プロセスのコンテキストスイッチにより切り替え パワーゲーティング制御アルゴリズム スリープポリシ スリープポリシ スリープポリシ プロセス プロセス プロセス 35

36 PG 制御アルゴリズム パワーゲーティング制御アルゴリズム スリープのパフォーマンスカウンタから BEP ミス率を取得 BEPミス率と閾値を比較して スリープポリシを決定 BEPミス率が閾値を上回る 電力的に不利なパワーゲーティングが多い パワーゲーティング動作を粗粒度にするようOSで制御 BEPミス率が閾値を下回る パワーゲーティング動作を細粒度にするようOSで制御 ハードが キャッシュ PGせず 自律的に ミス時のみ 常にアク PG PG ティブ ARC&ICD 36

37 評価 : スリープ時平均リーク電力 スリープ時平均リーク電力を評価 ベンチマークをシングルタスクで実行 制御なし時に比べ3.0~23.2% 削減 とくに行列計算の電力削減効果が大 [μw] パワーゲーティング制御なしパワーゲーティング制御あり クイックソート行列計算 Dhrystone ダイクストラ ARC&ICD Blowfish ビットカウント FFT Whetstone 37

38 温度変化に適応する OS スケジュ ーラ ARC&ICD 38

39 本研究の目標 温度に適応するスリープポリシー制御方式の実現 各演算ユニットの BEP が温度により変化する点に着目 温度に適応するスリープポリシーを選択 細粒度 PG の効果を高める 温度が変化する条件を仮定した電力削減効果を評価 温度の変化をエミュレーション スリープポリシー制御手法の妥当性を実証 ARC&ICD 39

40 スリープポリシー決定アルゴリズム 温度に適応するスリープポリシーの決定 BEPの温度変化を考慮し θ1 PG の時間粒度を決定 BEP 頻度 ロス大 n1 温度が低いときの BEPミス サイクル数 n1 頻度 温度が高いときの BEPミス n2 θ2 ロス小 θ1 θ2 温度 温度閾値 θthを設定して 動的 PG と キャッシュミス時のみスリープ を切り替え スリープポリシーの制御モジュール n2 サイクル数 閾値温度設定部 : スリープ頻度情報から閾値温度 θthを決定 スリープポリシー制御部 : 温度に適応するスリープポリシーをコアに設定 ARC&ICD 40

41 閾値決定方法 温度閾値 θthを決めるためのサイクル数 nthの設定方法 ( メリットとデメリット ) ( 方法 1) アドミッションテストにより事前に得た情報を用いる方法 スリープ頻度の計測モジュールが必要ない スリープ頻度特性の判定が不要 スリープ頻度特性がタスクにより変わるため効果が得にくい ( 方法 2) 実行時の情報を用いる動的方法 スリープ頻度の計測モジュールが必要 スリープ頻度特性の判定が必要 タスクの性質により動的に閾値を設定可能 スリープ頻度から閾値温度を決定 スリープ頻度分布の中央値に BEP が一致する温度 ただし実行時にスリープ率の高いユニットは温度による制御をせず 動的 PG ポリシー固定 ( 適用可否判定 ) tsleepall: 全スリープサイクル数 mn: n サイクルスリープの頻度 ARC&ICD 41

42 評価基盤への実装 (1) アドミッションテスト方式によるスリープ頻度制御機構の実装 あらかじめ決めた閾値温度を保持 タイマ割込みで起動 温度に適応したスリープポリシーをユニットごとに選択しGeyserコアのPGStatusレジスタに書き込み オーバヘッド 0.25% Geyser OS 例外処理部 プロファイルデータからの閾値情報 θ θth 各ユニットの閾値温度 θth スリープポリシー制御機構 sleep_policy_control タイマ割込みタイマ割込み Syscall 例外 スリープポリシー設定部 set_sleep_policy タスクスケジューラ get_temperature ARC&ICD Geyser システムコール部 コア温度エミュレーション部 42

43 評価基盤への実装 (2) 実行時情報を用いる方式によるスリープポリシー制御機構の実装 タイマ割込みでスリープポリシー制御機構を起動 スリープ頻度から閾値温度を設定 閾値温度と, 適用可否判定のフラグを保持 Geyser OS 例外処理部 タイマ割込みタイマ割込み Syscall 例外 sleep_policy_control スリープ頻度 m 実行時のスリープ頻度情報 スリープサイクル数 n 各ユニットの閾値温度 θth 温度閾値によるスリープポリシー制御の実施可否 flag 変更 閾値温度設定部 スリープポリシー設定部 タスクスケジューラ get_temperature システムコール部 コア温度エミュレーション部 スリープ頻度計測モジュール スリープポリシー制御機構 set_sleep_policy ARC&ICD Geyser 43

44 評価結果 ( 平均リーク電力 ) (1) アドミッションテスト方式 最小 -2.5% (Whetstone), 最大 38% (Matrix) 平均リーク電力を削減 演算ユニット全体でリーク電力を平均約 12% 削減 (2) 動的決定方式 最小 4.0% (Blowfish), 最大 16% (Dijkstra) 平均リーク電力を削減 演算ユニット全体でリーク電力を平均約 9% 削減 ARC&ICD 44

45 温度に適応する最適化コードを選択する OS メモリ管理 ARC&ICD 45

46 研究目標 コア温度変化の影響の隠蔽 コア温度変化に伴う BEP の変動への対処 コンパイラと OS との協調動作 静的解析と動的制御を組み合わせた PG 制御 実行時コア温度変化に基づくオブジェクトコード管理 実行時コア温度変化に基づいて OS がオブジェクトコードを切り替え実行 パワーゲーティングによる消費電力低減効果の向上 ARC&ICD 46

47 提案手法の概要 source code 1) 特定コア温度向けに最適化 object code for 125 object compiler code for 25 Operating System Core Temperature 2) コア温度に応じて切り替え object code for 65 Geyser 3) 命令に基づくパワーゲーティング Hardware ARC&ICD 47

48 温度に適合したコードを実行する メモリ管理 仮想アドレス空間 仮想記憶により各タスクに一意の仮想アドレス空間を提供 テキスト領域の多重化 各コア温度向けに一意のテキスト領域を提供 コア温度変化に基づくテキスト領域の動的切り替え stack Core Temperature 65 stack Core Temperature 125 stack heap heap heap data data data textt For 125 For 65 For 25 For 65 For ARC&ICD 48

49 コード管理 : コアごとに応じたコードの 切り替え Application Program Object file ELF header Program header Program header Program header Program header For 25 For 65 For 125 ヘッダ参照 2) コード選択 3) ロード Core Temperature Operating System 65 1) コア温度取得 Memory CPU core ARC&ICD Page fault 49

50 評価 (1) 消費リーク電力の評価 (1) 全温度平均 PG を行うことで消費リーク電力を大幅に削減最も効果の高い提案手法 (ⅳ) では平均 77.3% 削減 実行時オーバヘッドは 3.2% 提案方式 (ⅳ) ハードウェア自律 (ⅱ) との比較 : 最高 55.7%, 平均 35.0% 削減 コンパイラ単体 (ⅲ) との比較 : 最高 15.6%, 平均 5.5% 削減 50

51 評価 (2) 消費リーク電力の評価 (2) 25 一般的に低温下では BEP が短いため PG による省電力効果は低い 手法 ⅲ,ⅳ は低温下でも効果を発揮 提案方式 (ⅳ) ハードウェア自律 (ⅱ) との比較 : 最高 60.7%, 平均 37.6% 削減 コンパイラ単体 (ⅲ) との比較 : 最高 24.5%, 平均 4.9% 削減 51

52 まとめ 計算機システムの省電力化においても OSの役割は重要 ただし 粗粒度 細粒度 PG: 資源管理のモデルと実行時情報に基づく資源管理手法 階層を越えた研究 新しいハードウェアへの対応 ARC&ICD 52

53 さらなる効果を目指すには 今日の話は演算器系のみ 普通の CPU には : キャッシュ,TLB, 回路内 FF 状態保持の多い制御系と 一過性の計算主体の演算系の徹底分離 on にすべきところだけ on, そこを減らす メニーコアのアクセラレータ いずれ また ( 格闘中 ) メモリ どうする? パネルへ続く 53

目的 システムLSIの電力性能比 ( 性能 / 電力 ) 向上 背景 : 通勤電車のひとコマ 一昔前 今は 社会全体が要求する情報処理能力の飛躍的増大 CREST ULP 領域公開シンポジウム ( 東京大学中村宏 ) 2012/11/30 2

目的 システムLSIの電力性能比 ( 性能 / 電力 ) 向上 背景 : 通勤電車のひとコマ 一昔前 今は 社会全体が要求する情報処理能力の飛躍的増大 CREST ULP 領域公開シンポジウム ( 東京大学中村宏 ) 2012/11/30 2 回路 ~ アーキテクチャ ~ システムソフトウェア協調で実現する低消費電力化技術 プロジェクト名 : 革新的電源制御による次世代超低電力高性能システム LSI の研究 中村宏 ( 東京大学 ) 宇佐美公良 ( 芝浦工業大学 ) 天野英晴 ( 慶應義塾大学 ) 近藤正章 ( 電気通信大学 ) 並木美太郎 ( 東京農工大学 ) 黒田忠広 ( 慶應義塾大学 ) 目的 システムLSIの電力性能比 ( 性能

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 ネットワークシステム B- 6-164 DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 早稲田大学基幹理工学研究科情報理工学専攻 1 研究の背景 n インターネットトラフィックが増大 世界の IP トラフィックは 2012

More information

Microsoft PowerPoint - ICD2011TakadaSlides.pptx

Microsoft PowerPoint - ICD2011TakadaSlides.pptx キャッシュウェイ割り当てと コード配置の同時最適化による メモリアクセスエネルギーの削減 九州大学 高田純司井上弘士京都大学石原亨 2012/8/9 1 目次 研究背景 組込みプロセッサにおけるエネルギー削減の必要性 キャッシュウェイ割り当て 提案手法 キャッシュウェイ割り当てとコード配置の組み合わせ 同時最適化 評価実験 まとめ 2012/8/9 2 組込みプロセッサの課題 研究背景 低消費エネルギー化,

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか

More information

OS

OS Operatig Systems カーネルとデバイスドライバ 2019-03 1 OS の構成要素 シェル ワープロ ブラウザ さまざまなソフトウェア ] ^ _ Z ` a b c d e ` f Y Z [ \ プロセス管理通信制御ファイルシステム メモリ管理割込み制御タイマ管理 デバイスドライバ 管理プログラム 基本ライブラリ デバイスドライバ CPU メモリ ストレージ さまざまなハードウェア

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

今週の進捗

今週の進捗 Virtualize APIC access による APIC フック手法 立命館大学富田崇詠, 明田修平, 瀧本栄二, 毛利公一 2016/11/30 1 はじめに (1/2) マルウェアの脅威が問題となっている 2015年に4 億 3000 万以上の検体が新たに発見されている マルウェア対策にはマルウェアが持つ機能 挙動の正確な解析が重要 マルウェア動的解析システム : Alkanet 仮想計算機モニタのBitVisorの拡張機能として動作

More information

Operating System 仮想記憶

Operating System 仮想記憶 Operating System 仮想記憶 2018-12 記憶階層 高速 & 小容量 ( 高価 ) レジスタ アクセスタイム 数ナノ秒 容量 ~1KB CPU 内キャッシュ (SRAM) 数ナノ秒 1MB 程度 ランダムアクセス 主記憶 (DRAM) 数十ナノ秒 数 GB 程度 ランダムアクセス フラッシュメモリ (SSD) 約 100 万倍 シーケンシャルアクセス 磁気ディスク (HDD) 数十ミリ秒

More information

Microsoft PowerPoint - OS02.pptx

Microsoft PowerPoint - OS02.pptx オペレーティングシステム 第 2 回 割り込みと OS の構成 http://www.info.kindai.ac.jp/os 38 号館 4 階 N-411 内線 5459 takasi-i@info.kindai.ac.jpkindai ac プログラムの実行中の動作 CPU プログラム キーボードからの入力 遊び 画面への出力 遊び IO 装置 入力処理 出力処理 CPU の遊び時間ができてしまう

More information

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード]

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード] 演算 / メモリ性能バランスを考慮した CMP 向けオンチップ メモリ貸与法の提案 九州大学 林徹生今里賢一井上弘士村上和彰 1 発表手順 背景 目的 演算 / メモリ性能バランシング 概要 アクセスレイテンシの削減とオーバーヘッド 提案手法の実現方法 着目する命令 (Cell プロセッサへの ) 実装 性能評価 姫野ベンチマーク Susan@MiBench おわりに 2 チップマルチプロセッサ (CMP)

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 OS の役割と構成 第 1 講 : 平成 20 年 10 月 6 日 ( 月 ) 1 限 S1 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/ 講義概要 ( 中村担当分 ) 内容 オペレーティングシステム (OS) 参考書 A.S. Tanenbaum,

More information

Microsoft PowerPoint - No3.ppt

Microsoft PowerPoint - No3.ppt OS を支援するプロセッサ機能 プロセッサの動作モード 割込み (Interrupt)/ 例外 (Exception) 入出力装置との並列動作 マルチプログラミング (multi-programming) OS の機能 : ユーザプログラムの実行制御の管理 コンピュータ資源の管理 管理するためには 特権 が必要 プロセッサの動作モード 特権モード = OS の実行モード ( カーネルモード, スーハ

More information

スライド 1

スライド 1 Shibaura Institute of Technology EDS Fair 2011 国際学会の技術トレンドを読み解く ~ 過去 現在 未来 ~ 低消費電力設計 芝浦工業大学工学部情報工学科 宇佐美公良 低消費電力技術の論文発表件数の推移 論文発表件数 20 18 16 14 12 10 8 6 4 2 0 2007 2008 2009 2010 2011 年 ASP-DAC DAC ICCAD

More information

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx データ値の局所性を利用した ライン共有キャッシュの提案 九州大学大学院 岡慶太郎 福本尚人 井上弘士 村上和彰 1 キャッシュメモリの大容量化 マルチコア プロセッサが主流 メモリウォール問題の深刻化 メモリアクセス要求増加 IOピンの制限 大容量の LL(Last Level) キャッシュを搭載 8MB の L3 キャッシュを搭載 Core i7 のチップ写真 * * http://www.atmarkit.co.jp/fsys/zunouhoudan/102zunou/corei7.html

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 OpenCV による基礎的な例 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2007.07.03 リアルタイム処理と高速化 リアルタイム = 高速 ではない 目標となる時間制約が定められているのがリアルタイム処理である.34 ms かかった処理が 33 ms に縮んだだけでも, それによって与えられた時間制約が満たされるのであれば,

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

Microsoft PowerPoint - OS02.ppt

Microsoft PowerPoint - OS02.ppt オペレーティングシステム 第 2 回割り込みとOSの構成 http://www.info.kindai.ac.jp/os 38 号館 4 階 N-411 内線 5459 takasi-i@info.kindai.ac.jp プログラムの実行中の動作 CPU プログラム キーボードからの入力 遊び 画面への出力 遊び IO 装置 入力処理 出力処理 CPU の遊び時間ができてしまう 単一プログラムの問題点

More information

Microsoft PowerPoint - OS09.pptx

Microsoft PowerPoint - OS09.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 ページング パワーポイント 7 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 復習 主記憶管理

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 4. メモリ管理 (1) 概要メモリ管理の必要性静的メモリ管理と動的メモリ管理スワッピング, 仮想記憶ページングとセグメンテーション 2008/5/ 20 メモリ管理 (1) 1 メモリはコンピュータの 5 大構成要素 装置 ( キーボード, マウス ) CPU ( 中央演算装置 ) 出 装置 ( モニタ, プリンタ ) 主記憶装置 ( メインメモリ ) 外部記憶装置 (HDD) 2008/5/ 20

More information

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt メモリ アーキテクチャ 3 仮想記憶 計算機アーキテクチャ ( 第 15 回目 ) 今井慈郎 (imai@eng.kagawa-u.ac.jp) 仮想記憶とは コンピュータ上に実装されている主記憶よりも大きな記憶領域を仮想的に提供する仕組み メモリ空間の一部をハードディスク装置等の大容量外部記憶にマッピングし実装したメモリ量以上のメモリ空間を利用できる環境をユーザに提供 実装したメモリ : 実記憶

More information

cmpsys15w07_os.ppt

cmpsys15w07_os.ppt 情報システム論 第 7 週ソフトウェアシステム Operating System (part I) 根來 均 ソフトウェア (Software) とは プログラムと同義もしくは各種プログラムの総称 ソフトウェアは 記憶装置上などに 電子的にのみ (0/1 で記録された情報として ) 存在する ソフトウェアに対して 物理的に存在する CPU 等の各種装置をハードウェア Hardware と呼ぶ 例えば

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 メモリ管理 (1) 第 x 講 : 平成 20 年 10 月 15 日 ( 水 ) 2 限 S1 教室 今日の講義概要 メモリ管理の必要性 静的メモリ管理と動的メモリ管理 スワッピング, 仮想記憶 ページングとセグメンテーション 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/

More information

PowerPoint Presentation

PowerPoint Presentation コンピュータ科学 II 担当 : 武田敦志 http://takeda.cs.tohoku gakuin.ac.jp/ 今日の話 オペレーティングシステム コンピュータを利用するための基本ソフト オペレーティングシステムの役割 プロセスの管理主記憶の管理出入力の管理ファイルの管理 タイムシェアリングシステム仮想記憶排他制御ディレクトリ構造

More information

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

Microsoft PowerPoint - OS1.ppt [互換モード]

Microsoft PowerPoint - OS1.ppt [互換モード] システムプログラム概論 オペレーティングシステム 安本慶一 (Keiichi Yasumoto) yasumoto@is.naist.jp (A613) 奈良先端科学技術大学院大学 2008/5/9 OS の役割と構成 1 講義概要 ( 安本担当分 ) 内容 オペレーティングシステム (OS) 参考書 A.S. Tanenbaum, Modern Operating System second edition,

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 5. メモリ管理 (2) 概要ページ管理 式ページ置換アルゴリズム 28/5/23 メモリ管理 (2) 1 ページング ( 復習 ) 仮想アドレス空間, 主記憶 ( 実アドレス空間 ) を固定サイズのページに分割 仮想アドレス空間のページを主記憶 ( メモリ ) のページに対応させる ページテーブル ( 変換表 ) を実メモリ上に保持 ページを単位としたアドレス変換 ( 仮想ページ番号, オフセット

More information

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED 組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 組込み Linux における起動高速化 組込み Linux の起動時間短縮について依頼あり スペック CPU : Cortex-A9 ( 800MB - single) RAM: 500MB 程度 要件 起動時間 画出し 5 秒 音出し 3 秒 終了時間 数 ms で電源断 1 課題と対策 問題点

More information

Microsoft PowerPoint - OS11.pptx

Microsoft PowerPoint - OS11.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 主記憶管理 : 仮想記憶 復習 : 主記憶管理

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BitVisor のための OS の状態の復元機能 2013 年 12 月 6 日 電気通信大学河﨑雄大大山恵弘 1 BitVisor Summit 2 2013/12/6 背景 近年 マルウェアなどの多くのセキュリティ脅威が発見されている OS 上のセキュリティシステムで監視や防御をするのが一般的な方法である しかし OS が乗っ取られてしまうと無効化されてしまう 監視や防御などの処理は OS の外で行いたい!

More information

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 科学技術振興調整費 中間成果報告書 若手任期付研究員支援 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 研究計画の概要 p.1 研究成果の概要 p.3 研究成果の詳細報告 1. 動的スケジューリング方式に関する研究 p.5 2. μitron 仕様の API の実装 p.7 3. 試作 LSI における OS 機能の検証 p.9 引用文献 成果の発表

More information

ビッグデータやクラウドのシステム基盤向けに処理性能を強化した「BladeSymphony」および「HA8000シリーズ」の新製品を販売開始

ビッグデータやクラウドのシステム基盤向けに処理性能を強化した「BladeSymphony」および「HA8000シリーズ」の新製品を販売開始 2013 年 9 月 19 日 株式会社日立製作所 ビッグデータやクラウドのシステム基盤向けに処理性能を強化した BladeSymphony および HA8000 シリーズ の新製品を販売開始 運用管理工数の削減を実現するサーバ管理ソフトウェア Hitachi Compute Systems Manager を標準添付 BS520H サーバブレード / PCI 拡張ブレード HA8000/RS220-h

More information

OS

OS Operatig System 仮想記憶 2017-12 記憶階層 高速 & 小容量 ( 高価 ) レジスタ アクセスタイム 数ナノ秒 容量 ~1KB ランダムアクセス ランダムアクセス CPU 内キャッシュ (SRAM) 主記憶 (DRAM) フラッシュメモリ 数ナノ秒 数十ナノ秒 1MB 程度 数 GB 程度 シーケンシャルアクセス 磁気ディスク (HDD) 光磁気ディスク (CD-R DVD-RW

More information

Microsoft PowerPoint - OS12.pptx

Microsoft PowerPoint - OS12.pptx # # この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました パワーポイント 7 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です # 主記憶管理 : ページ置き換え方式

More information

CPUスケジューリング

CPUスケジューリング 5-6 プロセス管理と CPU スケジューリング 1 多重プログラミングの概念 CPU を無駄なく使いたい ジョブ A ジョブ B 開始遊休状態 : 入力 開始遊休状態 : 入力 遊休状態 : 入力 遊休状態 : 入力 停止 停止 図 4.1 二つの上部 A,B の実行 2 多重プログラミングの概念 ジョブ A 開始遊休状態 : 入力 遊休状態 : 入力 停止 ジョブ B 待ち 開始遊休状態 : 入力

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

Microsoft PowerPoint - 09_2008_0619.pptx

Microsoft PowerPoint - 09_2008_0619.pptx 2008/6/19 基本情報技術概論 第9回 コンピュータの構成 基本情報技術概論 (第9回) (第1回の復習) ハードウェア (前回の続き) 基本ソフトウェア (OS) 制御装置 入力装置 埼玉大学 理工学研究科 堀山 貴史 演算装置 主記憶装置 出力装置 補助記憶装置 2 1 前回の復習 プログラムの実行 高速化技法 アドレス指定 ジャンプ命令 分岐命令 絶対アドレス P op (P) 相対アドレス

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

V8_教育テキスト.dot

V8_教育テキスト.dot 1.1 Universal Volume Manager 概要 1.1.1 Universal Volume Manager とは Universal Volume Manager は VSP ファミリーに 機種の異なる複数のストレージ ( 外部ストレージ と呼ぶ ) を接続機能です 外部ストレージ接続時 Universal Volume Manager はこの外部ストレージをストレージシステムの内部ストレージ

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] // システムプログラム概論 メモリ管理 () 今日の講義概要 ページ管理方式 ページ置換アルゴリズム 第 5 講 : 平成 年 月 日 ( 月 ) 限 S 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/ // 第 5 講メモリ管理 () ページング ( 復習

More information

業務用コンピュータサーバーに関する

業務用コンピュータサーバーに関する ENERGY STAR データセンター用ストレージ初期データ収集方法の草案 2009 年 11 月 概要 ENERGY STAR データセンター用ストレージ基準の策定作業の一環として EPA は関係者に対して 本書に規定される方法を使用した データセンター用ストレージに対する一連の試験と性能モデル化の実施を要請する この第 1 回データセンター用ストレージ消費電力試験の目的は 稼働およびアイドル状態の両方における

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft PowerPoint - OS02.pptx

Microsoft PowerPoint - OS02.pptx 実行プロセス数と処理効率処理率実行プロセス数効プログラムの実行中の動作 オペレーティングシステム 第 2 回割り込みと の構成 http://www.info.kindai.ac.jp/ 38 号館 4 階 N-411 内線 5459 takasi-i@info.kindai.ac.jp プログラム キーボードからの入力 画面への出力 遊び 遊び 入力処理 出力処理 の遊び時間ができてしまう 単一プログラムの問題点

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

スライド 1

スライド 1 1 システムコールフックを使用した攻撃検出 株式会社フォティーンフォティー技術研究所 http://www.fourteenforty.jp 取締役技術担当金居良治 2 お題目 System Call について System Call Protection System Call Hook 考察 3 System Call とは? ユーザアプリケーションからカーネルのサービスルーチンを呼び出す Disk

More information

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx チップマルチプロセッサにおける データ プリフェッチ効果の分析 福本尚人, 三原智伸九州大学大学院システム情報科学府情報理学専攻 井上弘士, 村上和彰九州大学大学院システム情報科学研究院情報理学部門 2007/6/1 1 発表手順 研究の背景 目的 効果に基づくプリフェッチの分類法 マルチプロセッサ チップマルチプロセッサ 性能モデル式による定性的評価 定量的評価 まとめ 2007/6/1 2 研究の背景

More information

Windows Server 2008 R2とPRIMERGYによる消費電力削減効果

Windows Server 2008 R2とPRIMERGYによる消費電力削減効果 Windows Server 2008 R2 と PRIMERGY による 消費電力削減効果 第 1.0 版 2010 年 2 月 富士通株式会社 改版日時版数改版内容 2010.2 1.0 新規作成 - 2 - 目次 はじめに...- 4-1. Windows Server 2008 R2 の電力制御機能...- 6-1.1 機能概要...- 6-1.1.1 コアパーキング機能...- 6-1.1.2

More information

Microsoft PowerPoint - NxLec ppt

Microsoft PowerPoint - NxLec ppt 動的スケジューリング ( アウトオブオーダ実行 ) 計算機アーキテクチャ特論 (Advanced Computer Architectures) (1) DIV.D F0, F2, F4 (2) ADD.D F10, F0, F8 (3) SUB.D F12, F8, F14 9. アウトオブオーダプロセッサステートと例外回復 DIV.D とADD.Dの依存がパイプラインをストールさせ,SUB.D

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2008.07.22 今日の内容 ビジュアルサーボのようなリアルタイム応用を考える場合, 画像処理を高速に実装することも重要となる いくつかの基本的な知識を押さえておかないと, 同じアルゴリズムを実行しているのに性能が上がらないということがしばしば生じる 今日は, あくまで普通の

More information

スライド 1

スライド 1 マルチコア メニーコア向けの OS 2010 年 3 月 7 日 NGCOM 第 7 回ワークショップ東京農工大学佐藤未来子 Mail: mikiko@namikilab.tuat.ac.jp URL: www.namikilab.tuat.ac.jp/~mikiko/ 目次 背景 研究課題 目標 方針 システムの全体構成 研究成果 (1) 軽量なマルチスレッドプログラムの実行基盤 (2)OS による

More information

本文ALL.indd

本文ALL.indd Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法河辺峻田口成美古谷英祐 Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法 Performance Measurement Method of Cache Coherency Effects on an Intel Xeon Processor System 河辺峻田口成美古谷英祐

More information

04-process_thread_2.ppt

04-process_thread_2.ppt オペレーティングシステム ~ 保護とシステムコール ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/05/08 復習 : OS の目的 ( 今回の話題 ) 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと 1 つしかプログラムが動作しない

More information

【Cosminexus V9】クラウドサービスプラットフォーム Cosminexus

【Cosminexus V9】クラウドサービスプラットフォーム Cosminexus http://www.hitachi.co.jp/soft/ask/ http://www.hitachi.co.jp/cosminexus/ Printed in Japan(H) 2014.2 CA-884R データ管 タ管理 理 ノンストップデータベース データ管 タ管理 理 インメモリデータグリッド HiRDB Version 9 ucosminexus Elastic Application

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 入出力 (I/O) 制御 今日の講義概要 入出力デバイスのハードウェア 入出力デバイスの制御 入出力デバイスのソフトウェア 第 6 講 : 平成 20 年 10 月 22 日 ( 水 ) 2 限 S1 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

ComputerArchitecture.ppt

ComputerArchitecture.ppt 1 人間とコンピュータの違い コンピュータ 複雑な科学計算や膨大な量のデータの処理, さまざまな装置の制御, 通信などを定められた手順に従って間違いなく高速に実行する 人間 誰かに命令されなくても自発的に処理したり, 条件が変化しても臨機応変に対処できる 多くの問題解決を経験することで, より高度な問題解決法を考え出す 数値では表しにくい情報の処理ができる 2 コンピュータの構成要素 構成要素 ハードウェア

More information

10-vm1.ppt

10-vm1.ppt オペレーティングシステム ~ 仮想記憶 (1) ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/06/19 OS の目的 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと メモリをアプリケーション自身が管理しなければならない

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 総務省 ICTスキル総合習得教材 概要版 eラーニング用 [ コース2] データ蓄積 2-5: 多様化が進展するクラウドサービス [ コース1] データ収集 [ コース2] データ蓄積 [ コース3] データ分析 [ コース4] データ利活用 1 2 3 4 5 座学本講座の学習内容 (2-5: 多様化が進展するクラウドサービス ) 講座概要 近年 注目されているクラウドの関連技術を紹介します PCやサーバを構成するパーツを紹介後

More information

Slide 1

Slide 1 MSP430 : 革新的な新技術 この資料は 次世代マイコン活用セミナー (2012) から FRAM 部分を抜粋したものです Ferroelectric RAM (FRAM) 1 FRAM 次世代 MCU メモリ 不揮発性 電源が切れてもデータを保持 EEPROM の代替え品として利用可能 高速書き込み / アップデート SRAM のようなパフォーマンスアクセスタイム : ~ 50ns/ バイト

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Oracle GRID Center Flash SSD + 最新ストレージと Oracle Database で実現するデータベース統合の新しい形 2011 年 2 月 23 日日本オラクル Grid Center エンジニア岩本知博 進化し続けるストレージ関連技術 高速ストレージネットワークの多様化 低価格化 10GbE FCoE 8Gb FC ディスクドライブの多様化および大容量 / 低価格化

More information

020105.メモリの高機能化

020105.メモリの高機能化 速化記憶階層の活用 5. メモリの高機能化 メモリインタリーブ メモリインタリーブとは 0 2 3 5 バンク番号 0 2 3 5 8 9 0 2 3 5 8 9 20 並列アクセス 主記憶装置をいくつかのバンクに分割し 各バンク毎にアクセスパスを設定する あるバンクの情報に対するアクセスがある時は それに続く全てのバンクの情報を同時にそれぞれのアクセスパスを経由して読み出す バンク数をウェイといい

More information

計算機システム概論

計算機システム概論 計算機システム概論 3 回目 本日のトピック : 割込みと入出力制御について割込み制御について問題点の明確化割込みとは割込みに対する処理について 入出力制御について入出力装置の接続入出力の操作入出力を効率的に行うための仕組み 1 入出力制御の歴史 OS 誕生のキッカケとなった動機 : プロセッサと入出力装置を同時並行的に動かしたい 実現したいこと入出力処理を行うジョブ ( 実行中のプロセス ) を

More information

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 1 コンピュータの構造 1.1 パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置

More information

MMUなしプロセッサ用Linuxの共有ライブラリ機構

MMUなしプロセッサ用Linuxの共有ライブラリ機構 MMU なしプロセッサ用 Linux の共有ライブラリ機構 大谷浩司 高岡正 近藤政雄 臼田尚志株式会社アックス はじめに μclinux には 仮想メモリ機構がないので共有ライブラリ機構が使えない でもメモリ消費抑制 ストレージ消費抑制 保守性の向上のためには 欲しい 幾つかの実装があるが CPU ライセンス 機能の制限のためにそのまま利用できない RidgeRun 社 (Cadenux 社 )

More information

Microsoft PowerPoint - sales2.ppt

Microsoft PowerPoint - sales2.ppt 最適化とは何? CPU アーキテクチャに沿った形で最適な性能を抽出できるようにする技法 ( 性能向上技法 ) コンパイラによるプログラム最適化 コンパイラメーカの技量 経験量に依存 最適化ツールによるプログラム最適化 KAP (Kuck & Associates, Inc. ) 人によるプログラム最適化 アーキテクチャのボトルネックを知ること 3 使用コンパイラによる性能の違い MFLOPS 90

More information

スライド 1

スライド 1 資料 WG 環 3-1 IPv6 環境クラウドサービスの構築 運用ガイドライン骨子 ( 案 ) 1 本骨子案の位置付け 本ガイドライン骨子案は 環境クラウドサービス を構築 運用する際に関連する事業者等が満たすことが望ましい要件等を規定するガイドライン策定のための準備段階として ガイドラインにおいて要件を設定すべき項目をまとめたものである 今後 平成 21 年度第二次補正予算施策 環境負荷軽減型地域

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

05-scheduling.ppt

05-scheduling.ppt オペレーティングシステム ~ スケジューリング ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2014/06/01 復習 : プロセス 実行状態にあるプログラムのこと プログラムの実行に必要なものをひっくるめて指す テキスト領域 データ領域 スタック領域 CPU のレジスタ値 プログラムカウンタ など OS はプロセス単位で管理する メモリ Hard Disk CPU プロセス execute

More information

6. パイプライン制御

6. パイプライン制御 6. パイプライン制御 パイプライン (Pipelining) 命令のスループットをあげて性能を向上する Program eection order Time (in instrctions) lw $, ($) fetch 2 4 6 8 2 4 6 8 Data access lw $2, 2($) 8 ns fetch Data access lw $3, 3($) Program eection

More information

講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュ

講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュ 計算機システム Ⅱ キャッシュと仮想記憶 和田俊和 講義計画 1. コンピュータの歴史 1 2. コンピュータの歴史 2 3. コンピュータの歴史 3 4. 論理回路と記憶, 計算 : レジスタとALU 5. 主記憶装置とALU, レジスタの制御 6. 命令セットアーキテクチャ 7. 演習問題 8. パイプライン処理 9. メモリ階層 : キャッシュと仮想記憶 ( 本日 ) 10. 命令レベル並列処理

More information

VXPRO R1400® ご提案資料

VXPRO R1400® ご提案資料 Intel Core i7 プロセッサ 920 Preliminary Performance Report ノード性能評価 ノード性能の評価 NAS Parallel Benchmark Class B OpenMP 版での性能評価 実行スレッド数を 4 で固定 ( デュアルソケットでは各プロセッサに 2 スレッド ) 全て 2.66GHz のコアとなるため コアあたりのピーク性能は同じ 評価システム

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LAN 1. LAN,. NAT,., LAN. NTMobile Network Traversal with Mobilty [1]. NTMobile. OS TUN/TAP, LAN. 2. NTMobile NTMobile NAT, IPv4/IPv6,,. NTMobile. DC Direction Coordinator. NTMobile. DC,. NTMobile NTMfw.

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

Microsoft PowerPoint - No6note.ppt

Microsoft PowerPoint - No6note.ppt 前回 : 管理 管理の目的 : の効率的利用 ( 固定区画方式 可変区画方式 ) しかし, いかに効率よく使ったとしても, 実行可能なプログラムサイズや同時に実行できるプロセス数は実装されているの大きさ ( 容量 ) に制限される 256kB の上で,28kB のプロセスを同時に 4 個実行させることはできないか? 2 256kB の上で,52kB のプロセスを実行させることはできないか? 方策 :

More information

(Microsoft PowerPoint - \221g\202\335\215\236\202\335\203\\\203t\203g\203E\203F\203A\215H\212w No03\201i\224z\225z\227p\201j.pptx)

(Microsoft PowerPoint - \221g\202\335\215\236\202\335\203\\\203t\203g\203E\203F\203A\215H\212w No03\201i\224z\225z\227p\201j.pptx) 前回の講義のおさらい 組み込みソフトウェア工学 第 3 回組み込みシステムアーキテクチャ 開発プロセス 製品を開発する上での必要なプロセス 何を作るか ( 要求, 戦略 ) どうやって作るか ( 開発プロセス ) 開発で必要な要因 ( 人物金情報 ) スケジュールと仕様書 本日の内容 組み込みシステムアーキテクチャ 組み込みシステムにおけるソフトウェアを作成する上で必要な構成要素をどのように考えるかについて理解する

More information

Microsoft PowerPoint - NxLec-2010-11-01.ppt

Microsoft PowerPoint - NxLec-2010-11-01.ppt 2010 年 後 学 期 レポート 問 題 計 算 機 アーキテクチャ 第 二 (O) 4. シングルサイクルプロセッサの 実 装 とパイプライン 処 理 大 学 院 情 報 理 工 学 研 究 科 計 算 工 学 専 攻 吉 瀬 謙 二 kise _at_ cs.titech.ac.jp S321 講 義 室 月 曜 日 5,6 時 限 13:20-14:50 1 1. 1から100までの 加 算

More information

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2014-DPS-159 No.31 Vol.2014-MBL-71 No /5/16 仮想化環境における読込み書込み比率を考慮した動的 VM メモリ割り当て 1 坂本雅哉 1 山口実靖 近年, サーバの

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2014-DPS-159 No.31 Vol.2014-MBL-71 No /5/16 仮想化環境における読込み書込み比率を考慮した動的 VM メモリ割り当て 1 坂本雅哉 1 山口実靖 近年, サーバの 仮想化環境における読込み書込み比率を考慮した動的 VM メモリ割り当て 1 坂本雅哉 1 山口実靖 近年, サーバの消費電力増加, 設置スペース肥大化が問題となっており, その解決策の一つとして, 仮想化技術を用いて複数の仮想マシンを一台の物理マシンに集約する手法がある. 仮想化環境では, 仮想マシンを停止させることなくメモリの割り当て量を変更することが可能である. 一つの物理マシンにて複数の仮想マシンを稼働させ,

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

SafeG 高信頼組込みシステム向けデュアル OS モニタ Daniel Sangorrín, 本田晋也, 高田広章 名古屋大学 2010 年 12 月 3 日 この研究の一部は文部科学省のサポート受けて実施しています Daniel Sangorrín ( 名古屋大学 ) ET 横浜 2

SafeG 高信頼組込みシステム向けデュアル OS モニタ Daniel Sangorrín, 本田晋也, 高田広章 名古屋大学 2010 年 12 月 3 日 この研究の一部は文部科学省のサポート受けて実施しています Daniel Sangorrín ( 名古屋大学 ) ET 横浜 2 SafeG 高信頼組込みシステム向けデュアル OS モニタ Daniel Sangorrín, 本田晋也, 高田広章 名古屋大学 2010 年 12 月 3 日 この研究の一部は文部科学省のサポート受けて実施しています Daniel Sangorrín ( 名古屋大学 ) ET2010 - 横浜 2010 年 12 月 3 日 1 / 25 目次 1 Introduction 2 SafeG 3 Evaluation

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

hpc141_shirahata.pdf

hpc141_shirahata.pdf GPU アクセラレータと不揮発性メモリ を考慮した I/O 性能の予備評価 白幡晃一 1,2 佐藤仁 1,2 松岡聡 1 1: 東京工業大学 2: JST CREST 1 GPU と不揮発性メモリを用いた 大規模データ処理 大規模データ処理 センサーネットワーク 遺伝子情報 SNS など ペタ ヨッタバイト級 高速処理が必要 スーパーコンピュータ上での大規模データ処理 GPU 高性能 高バンド幅 例

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information