Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Size: px
Start display at page:

Download "Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」"

Transcription

1 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver Rev.1

2 Nios II HAL API Modular Scatter-Gather DMA Core msgdma On-Chip RAM HAL API alt_msgdma_open alt_msgdma_register_callback alt_msgdma_construct_standard_mm_to_mm_descriptor alt_msgdma_standard_descriptor_async_transfer alt_msgdma_standard_descriptor_sync_transfer Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

3 Nios II HAL API Modular Scatter-Gather DMA Core Nios II Hardware Abstraction Layer Application Program Interface HAL API Modular Scatter-Gather DMA Core msgdma HAL API Cyclone V GX rasic-cyclone-v-gx-starter.html 2-1 Cyclone V GX Intel Quartus Prime Version Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

4 Nios II HAL API Modular Scatter-Gather DMA Core Nios II msgdma On-Chip Memory (RAM and ROM) Core On-Chip RAM On-Chip RAM ocram_0 DMA On-Chip RAM ocram_1 DMA msgdma Avalon Memory Mapped Avalon-MM On-Chip RAM On-Chip RAM DMA Avalon-MM to Avalon-MM alt_msgdma_standard_descriptor_async_transfer() HAL API DMA msgdma DMA On-Chip RAM On-Chip RAM 1K Platform Designer On-Chip RAM program On-Chip RAM ocram_0 On-Chip RAM ocram_1 Slave Slave Slave msgdma Nios II Instruction Master Data Master Avalon-MM Read Master Write Master CSR Slave Descriptor Slave IRQ IRQ 3-1 Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

5 Nios II HAL API Modular Scatter-Gather DMA Core nios2_msgdma_sample_17_1_restored/nios2_system.qsys IP Platform Designer Qsys Avalon-MM Nios II CPU msgdma On-Chip RAM program ocram_0 ocram_1 3-2 Platform Designer Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

6 Nios II HAL API Modular Scatter-Gather DMA Core msgdma msgdma msgdma DMA Mode Memory-Mapped to Memory-Mapped Data Width 32 DMA Mode On-Chip RAM On-Chip RAM Avalon-MM to Avalon-MM Memory-Mapped to Memory-Mapped Data Width On-Chip RAM msgdma Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

7 Nios II HAL API Modular Scatter-Gather DMA Core On-Chip RAM On-Chip RAM ocram_0 ocram_1 ocram_0 On-Chip RAM Type RAM(Writable) Dual-port access Off Slave S1 Data width 32 Total memory size 4096 DMA 1K Total memory size K 3-4 On-Chip RAM Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

8 Nios II HAL API Modular Scatter-Gather DMA Core nios2_msgdma_sample_v17.1_r1.qar C: Work Quartus Prime Cyclone V GX Nios II Command Shell Software $ cd "C: Work nios2_msgdma_sample_v17.1_r1_restored software" SOF $./write_sof.sh BSP $./build_all_sw.sh BSP $./build_sw.sh Nios II $./dl_nios.sh Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

9 Nios II HAL API Modular Scatter-Gather DMA Core Nios II Command Shell $./dl_nios.sh Using cable "USB-Blaster [USB-0]", device 1, instance 0x00 Pausing target processor: OK Initializing CPU cache (if present) OK Downloaded 13KB in 0.2s (65.0KB/s) Verified OK Starting processor at address 0x000A018C nios2-terminal: connected to hardware target using JTAG UART on cable nios2-terminal: "USB-Blaster [USB-0]", device 1, instance 0 nios2-terminal: (Use the IDE stop button or Ctrl-C to terminate) << Start Program!! >> <Debug> On-Chip RAM 0 Contents Before DMA!! 0000: 00 2D CF B4 78 D8 68 A7 FF 3F 2B F1 FC 0010: D9 7A C A C4 AF A4 E : DB 5E 20 FB 38 A8 4E A DF 59 03D0: A7 8B 4B 4D FF 97 CC AB DC 9E 84 AF 3B 11 03E0: 61 C9 8A ED EF 93 A4 CC 1D 2A BD E3 0A 59 1A 7B 03F0: B7 82 C7 05 FB 1A 90 4F CA D B4 69 DMA On-Chip RAM <Debug> On-Chip RAM 1 Contents Before DMA!! 0000: : : D0: E0: F0: DMA On-Chip RAM <Debug> DMA Transfer Start!! <Debug> DMA Callback Function called!! DMA <Debug> On-Chip RAM 0 Contents After DMA!! 0000: 00 2D CF B4 78 D8 68 A7 FF 3F 2B F1 FC 0010: D9 7A C A C4 AF A4 E : DB 5E 20 FB 38 A8 4E A DF 59 03D0: A7 8B 4B 4D FF 97 CC AB DC 9E 84 AF 3B 11 03E0: 61 C9 8A ED EF 93 A4 CC 1D 2A BD E3 0A 59 1A 7B 03F0: B7 82 C7 05 FB 1A 90 4F CA D B4 69 DMA On-Chip RAM <Debug> On-Chip RAM 1 Contents After DMA!! 0000: 00 2D CF B4 78 D8 68 A7 FF 3F 2B F1 FC 0010: D9 7A C A C4 AF A4 E : DB 5E 20 FB 38 A8 4E A DF 59 03D0: A7 8B 4B 4D FF 97 CC AB DC 9E 84 AF 3B 11 03E0: 61 C9 8A ED EF 93 A4 CC 1D 2A BD E3 0A 59 1A 7B 03F0: B7 82 C7 05 FB 1A 90 4F CA D B4 69 <Debug> On-Chip RAM 0 and 1 Contents Verify!! <Debug> Data Verify OK!! DMA On-Chip RAM DMA On-Chip RAM 4-1 Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

10 Nios II HAL API Modular Scatter-Gather DMA Core msgdma msgdma "system.h" "system.h" DMA Async Sync DMA Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

11 Nios II HAL API Modular Scatter-Gather DMA Core main On-Chip RAM On-Chip RAM On-Chip RAM On-Chip RAM DMA On-Chip RAM alt_msgdma_open() HAL API msgdma Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

12 Nios II HAL API Modular Scatter-Gather DMA Core alt_msgdma_register_callback() HAL API msgdma_callback() alt_msgdma_standard_descriptor_async_transfer() HAL API DMA msgdma_callback() xfer_cmp TRUE alt_msgdma_construct_standard_mm_to_mm_descriptor () HAL API DMA alt_msgdma_standard_descriptor_async_transfer() HAL API alt_msgdma_standard_descriptor_sync_transfer() HAL API DMA DMA On-Chip RAM Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

13 Nios II HAL API Modular Scatter-Gather DMA Core DMA On-Chip RAM alt_msgdma_irq() msgdma xfer_cmp TRUE On-Chip RAM On-Chip RAM Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

14 Nios II HAL API Modular Scatter-Gather DMA Core RAM RAM 5-1 msgdma sample.c Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

15 Nios II HAL API Modular Scatter-Gather DMA Core HAL API msgdma HAL API alt_msgdma_open 6-1 alt_msgdma_open() alt_msgdma_dev* alt_msgdma_open ( const char* name ) msgdma #include <altera_msgdma_descriptor_regs.h> #include <altera_msgdma_csr_regs.h> #include <altera_msgdma.h> * name - HAL msgdma Platform Designer msgdma MSGDMA_CSR_NAME msgdma null <Quartus > ip altera altera_msgdma top HAL src altera_msgdma.c <Quartus > ip altera altera_msgdma top HAL inc altera_msgdma.h Embedded Peripherals IP User Guide / alt_msgdma_open p alt_msgdma_register_callback 6-2 alt_msgdma_register_callback () void alt_msgdma_register_callback ( alt_msgdma_dev *dev, alt_msgdma_callback callback, alt_u32 control, void *context ); msgdma msgdma Nios II CSR #include <altera_msgdma_descriptor_regs.h> #include <altera_msgdma_csr_regs.h> #include <altera_msgdma.h> *dev - msgdma callback - control - OR *context - <Quartus > ip altera altera_msgdma top HAL src altera_msgdma.c <Quartus > ip altera altera_msgdma top HAL inc altera_msgdma.h Embedded Peripherals IP User Guide / alt_msgdma_register_callback p Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

16 Nios II HAL API Modular Scatter-Gather DMA Core alt_msgdma_construct_standard_mm_to_mm_descriptor 6-3 alt_msgdma_construct_standard_mm_to_mm_descriptor () int alt_msgdma_construct_standard_mm_to_mm_descriptor ( alt_msgdma_dev *dev, alt_msgdma_standard_descriptor *descriptor, alt_u32 *read_address, alt_u32 *write_address, alt_u32 length, alt_u32 control); DMA mm_to_mm "alt_msgdma_construct_standard_descriptor" 0 #include <altera_msgdma_descriptor_regs.h> #include <altera_msgdma_csr_regs.h> #include <altera_msgdma.h> *dev - msgdma *descriptor - *read_address - *write_address - length - "0xffffffff" control - "0" -EINVAL <Quartus > ip altera altera_msgdma top HAL src altera_msgdma.c <Quartus > ip altera altera_msgdma top HAL inc altera_msgdma.h Embedded Peripherals IP User Guide / alt_msgdma_construct_standard_mm_to_mm_descriptor p Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

17 Nios II HAL API Modular Scatter-Gather DMA Core alt_msgdma_standard_descriptor_async_transfer 6-4 alt_msgdma_standard_descriptor_async_transfer () int alt_msgdma_standard_descriptor_async_transfer ( alt_msgdma_dev *dev, alt_msgdma_standard_descriptor *desc ); DMA *desc "alt_msgdma_descriptor_async_transfer" 1 / FIFO -ENOSPC 5 -ETIME msgdma #include <altera_msgdma_descriptor_regs.h> #include <altera_msgdma_csr_regs.h> #include <altera_msgdma.h> *dev - msgdma *desc - "0" -ENOSPC : FIFO -EPERM : -ETIME : 5 <Quartus > ip altera altera_msgdma top HAL src altera_msgdma.c <Quartus > ip altera altera_msgdma top HAL inc altera_msgdma.h Embedded Peripherals IP User Guide / alt_msgdma_standard_descriptor_async_transfer p Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

18 Nios II HAL API Modular Scatter-Gather DMA Core alt_msgdma_standard_descriptor_sync_transfer 6-5 alt_msgdma_standard_descriptor_sync_transfer () int alt_msgdma_standard_descriptor_sync_transfer ( alt_msgdma_dev *dev, alt_msgdma_standard_descriptor *desc ); *desc "alt_msgdma_descriptor_sync_transfer" 1 FIFO FIFO 5 #include <altera_msgdma_descriptor_regs.h> #include <altera_msgdma_csr_regs.h> #include <altera_msgdma.h> *dev - msgdma *desc - "0" msgdma CSR -EPERM -ETIME 5 <Quartus > ip altera altera_msgdma top HAL src altera_msgdma.c <Quartus > ip altera altera_msgdma top HAL inc altera_msgdma.h Embedded Peripherals IP User Guide / alt_msgdma_standard_descriptor_sync_transfer p msgdma Embedded Peripherals IP User Guide Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

19 Nios II HAL API Modular Scatter-Gather DMA Core Revision Ver.17.1 / Rev /19 ALTIMA Company, MACNICA, Inc.

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語 A B C D E F G H I 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 K L J Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C RS-232C RS-232C Cable (cross) LAN cable (CAT-5 or greater) LAN LAN LAN LAN RS-232C BE

More information

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】 B A C E D 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 H G I F J M N L K Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01

More information

HLS はじめてガイド - 簡易チュートリアル

HLS はじめてガイド - 簡易チュートリアル ALTIMA Company, MACNICA, Inc. HLS はじめてガイド簡易チュートリアル Ver.17.1 2018 年 9 月 Rev.2 HLS はじめてガイド簡易チュートリアル 目次 はじめに...3 評価環境...3 インテル HLS コンパイラ...5 インテル HLS コンパイラの概要... 5 インテル HLS コンパイラ使用時に必要なソフトウェア... 6 事前準備 (

More information

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ B A C D E F K I M L J H G N O Q P Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01 00 00 60 01 00 BE EF 03 06 00 19 D3 02 00

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

Nios II ソフトウェア開発ハンドブック Version 1.2 第6章. 例外処理 ver.1.2

Nios II ソフトウェア開発ハンドブック Version 1.2 第6章. 例外処理 ver.1.2 6. NII52006-1.2 Nios II ISR HAL Hardware Abstraction Layer Nios II HAL ISR ISR HAL API Application Programming Interface ISR ISR C ISR ISR ISR Nios II Nios II Nios II Nios II RISC 1 1 Nios II Altera Corporation

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編 720 x 400 37.9 85.0 VESA TEXT 640 x 480 31.5 59.9 VESA VGA (60Hz) 640 x 480 37.9 72.8 VESA VGA (72Hz) 640 x 480 37.5 75.0 VESA VGA (75Hz) 640 x 480 43.3 85.0 VESA VGA (85Hz) 800 x 600 35.2 56.3 VESA SVGA

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi ALTIMA Company, MACNICA, Inc. HDL Designer Series Ver. 2016.2 2017 7 Rev.1 ELSENA,Inc. 1. 2. 3....3 HDL Designer Series...3...4 3-1. 3-2. SupportNet... 4... 5 4....6 4-1. 4-2.... 6 GUI... 6 5. HDL Designer

More information

‚å™J‚å−w“LŁñ›Ä

‚å™J‚å−w“LŁñ›Ä 2007 172 FFFFFFFFF FFFFFFFFF 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 c d e cc bd b fb ag ag ed ed ed bd b b ef bf f df bd f bff d D f F d f 19 bd 20 21 F C e e f b b b 22 d d e f e f bf bd 23 24 222222222222222222222222222222222222222222222222222222222222222222222222

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

00-COVER.P65

00-COVER.P65 AHA-2910C R AHA-2910C PCI-to-Fast SCSI 1998 Adaptec, Inc. All rights reserved. Adaptec, Inc., 691 South Milpitas Blvd., Milpitas, CA 95035 Adaptec Adaptec Adaptec AHA PhaseEngine SCSISelect Adaptec Adaptec

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information

日立液晶プロジェクター CP-AW2519NJ 取扱説明書- 詳細版-

日立液晶プロジェクター CP-AW2519NJ 取扱説明書- 詳細版- PAGE UP DOWN D- ESC ENTER 1 1 2 2 3 COMPUTER IN1 USB TYPE A DC5V 0.5A USB TYPE B HDMI COMPUTER IN2 LAN CONTROL MONITOR OUT MIC AUDIO IN1 AUDIO IN3 AUDIO OUT R R L L S-VIDEO AUDIO IN2 VIDEO PAGE UP DOWN

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

HyRAL®FPGA設計仕様書

HyRAL®FPGA設計仕様書 HyRAL Encryption FPGA HyRAL FPGA 2009/12/ 13 2 2010/01/11 3. FPGA 3.1. Const1, 2,3 3.3.ciphergen 3.3.6. 3.4. Decrypt 4 3 2010/01/26 1. i 1.... 1 2.... 1 2.1. FPGA... 1 2.2.... 1 2.3.... 1 2.4. IP... 1

More information

‚å™J‚å−w“LŁñfi~P01†`08

‚å™J‚å−w“LŁñfi~P01†`08 156 2003 2 3 4 5 6 7 8 9 c f c a g 10 d c d 11 e a d 12 a g e 13 d fg f 14 g e 15 16 17 18 19 20 21 db de de fg fg g gf b eb g a a e e cf b db 22 d b e ag dc dc ed gf cb f f e b d ef 23 f fb ed e g gf

More information

iExpress5800/N サーバハードウェア

iExpress5800/N サーバハードウェア Press F2 to enter SETUP/Q-Flash Main A Date (mm:dd:yy) Time (hh:mm:ss) >IDE Primary Master IDE HDD Auto-Detection Press Enter Write Cache Disabled Enabled IDE Primary Master Auto None Manual Access

More information

取扱説明書 [F-02F]

取扱説明書 [F-02F] F-02F 4. 2 3 4 5 6 7 8 9 0 2 3 4 5 6 7 8 a b c d a b c d a b cd 9 e a b c d e 20 2 22 ab a b 23 a b 24 c d e 25 26 o a b c p q r s t u v w d h i j k l e f g d m n a b c d e f g h i j k l m n x 27 o

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

untitled

untitled Corporate Development Division Semiconductor Company Matsushita Electric Industrial Co.,Ltd. http://www.panasonic.co.jp/semicon/ DebugFactory Builder for MN101C PanaX IDE IBM PC/AT CPU Intel Pentium 450MHz

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

SERVIS Multi

SERVIS Multi ... 2... 2... 3... 5... 6... 9... 10... 13... 21... 22... 22... 22!... 25... 29 1 2 3 4 5 6 7 8 9 10 USB (Mini D-Sub 15Pin) PS/2 USB PS/2 USB 11 2 1 USB 12 13 14 15 b. 16 17 18 19 20 21 22 23 24 25 (SUN

More information

Nios II ソフトウェア開発ハンドブック Version 1.2 第5章. HAL用デバイス・ドライバの開発 ver.1.1

Nios II ソフトウェア開発ハンドブック Version 1.2 第5章. HAL用デバイス・ドライバの開発 ver.1.1 5. HAL NII52005-1.1 HAL Hardware Abstraction Layer HAL API Application Programming Interface Nios II 2 HAL HAL API API HAL API HAL API DMA HAL HAL API HAL API ASCII LCD printf() LCD Altera Corporation

More information

インテル® SoC FPGA の QSPI ベアメタルアプリ・ブート (Cyclone V SoC / Arria V SoC 編)

インテル® SoC FPGA の QSPI ベアメタルアプリ・ブート (Cyclone V SoC / Arria V SoC 編) ALTIMA Company, MACNICA, Inc インテル SoC FPGA の QSPI ベアメタルアプリ ブート (Cyclone V SoC / Arria V SoC 編 ) Ver.18.1 2019 年 3 月 Rev.1 インテル SoC FPGA の QSPI ベアメタルアプリ ブート (Cyclone V SoC / Arria V SoC 編 ) 目次 はじめに...4

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094106 Rev. G Seiko Epson Corporation 2015-2018. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 ... 71 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F N

More information

MINI2440マニュアル

MINI2440マニュアル ARM7TDMI/LPC2388 http://www.csun.co.jp info@csun.co.jp 2009/3/17 copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ARM7TDMI/LPC2388...4...5 2.1...5 2.2 USB...6 2.3 USB...7 2.4 USB OTG...7 2.5...8

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

94

94 4 1 2 3 4 5 6 7 8 9 10 11 12 94 4 95 1 2 3 4 96 5 5 4 97 98 1 2 3 4 99 4 100 5 6 1 2 4 101 1 2 3 4 5 1 2 3 4 102 1 2 3 4 4 103 1 2 104 1 2 3 4 4 5 105 1 2 106 4 107 108 1 2 3 4 4 109 1 2 3 4 110 1 2 3

More information

untitled

untitled Network Product Guide Network Monitoring System Network Product Guide Time stamp Write to disk Filter Convert Summarise Network Product Guide Network Monitoring System TDS2 TDS24 Network Analysis Report

More information

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編)

PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット(ハードウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) ver.1 2015 年 4 月 Rev.3 ELSENA,Inc. PCI-Express ハード IP を使用した DMA 転送の実現 for Cyclone V GT FPGA 開発キット ( ハードウェア編 ) 目次

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

Preloader Generator の使用方法 Ver.14

Preloader Generator の使用方法 Ver.14 ALTIMA Company, MACNICA, Inc Ver.14 2018 年 9 月 Rev.2 目次 はじめに...3 必要条件... 3 関連文書... 3 Preloader とは?... 4 ブート シーケンス... 5...6 Embedded Command Shell の起動... 6 bsp-editor (Preloader Generator) の起動... 6 新規プロジェクトの作成...

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Nikon デジタルカメラ COOLPIX P6000 簡単操作ガイド

Nikon デジタルカメラ COOLPIX P6000 簡単操作ガイド Nikon Transfer Jp COOLPIX P6000 Software Suite Welcome Nikon AC 2 COOLPIX P6000 BS-1 Li-ion EN-EL5 AC EH-66 USB UC-E6 EG-CP14 Software Suite (CD-ROM) SD SD 177 SD 3 1 2 3 6 7 8 9 10 11 4 5 12 13 14 1 2

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094100 Rev. A Seiko Epson Corporation 2015. All rights reserved. 2 3 4 5 6 Bluetooth 7 Bluetooth 8 1 9 Bluetooth 10 1 11 1 2 6 5 4 3 7 12 1 13 14 ONF 1 N O O N O N N N O F N N F N N N N N N F F O O

More information

LDR-MA16FU2_WM.n.[.h.E.F.A.}.j...A.._Win.p65

LDR-MA16FU2_WM.n.[.h.E.F.A.}.j...A.._Win.p65 LDR-MA16FU2/WM DVD-RAM DVD+R 2 DVD+R DVD+RW 1 DVD-R 2 DVD-R DVD-RW USB 2.0 High-Speed IEEE 1394 DVD-RAM R/RW DVD LDR-MA16FU2/WM 11 DVD CD DVD 16 DVD DVD+R DVD+RW DVD-R DVD-RW DVD DVD DVD PC DVD 2 DVD+R

More information

6.1号4c-03

6.1号4c-03 6.1 0 1 1 1 1 BF 1 C DB C 1* F E C 1 F 1 E C 1 E D 1 D 1 BF C G 1 DF 1 E 1 BF 1 BF 1 BF 1 BG 1 BG 1 BG 1 BF 1 BG 1 E 1 D F BF 1 BF 1 F 1 BF 1 F C 1 d 0 1 A 0 1 14 A G 0 1 A 1 G 0 1 1 1 E A 01 B 1 1 1 1

More information

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編)

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編) ALTIMA Company, MACNICA, Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) Ver.17 2017 年 7 月 Rev.1 ELSENA,Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) 目次 はじめに...3

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

MINI2440マニュアル

MINI2440マニュアル Open-JTAG LPC2388 + GCC + Eclipse http://www.csun.co.jp info@csun.co.jp Ver1.4 2009/7/31 LPC2388 OpenJTAG copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ...3 ARM...4...5...6 4.2 OpenJTAG...6 4.2...8

More information

JAXA key

JAXA key ! 芸術衛星INVADERのフライトモデル I A 2 8 7 IC J 6 R 22 I 7 6 C 9 I 9 9 J 7R 2 R 9 6 8 I 7 8 7 2 I22 7 8 2 J C I R 2 8 7 J 8 2 R C J C 9 6 I 2 6 7 2 8 8 6 I C 7 I C 9 C 9 J 7 C R 2 8 7 I I 9 6 8 2 R J 8 7 C

More information

Complex Lab – Operating Systems - Graphical Console

Complex Lab – Operating Systems - Graphical Console Complex Lab Operating Systems Graphical Console Martin Küttler Last assignment Any questions? Any bug reports, whishes, etc.? 1 / 13 We are here Pong Server Paddle Client 1 Paddle Client 2 Memory Management

More information

Nios II - Vectored Interrupt Controller の実装

Nios II - Vectored Interrupt Controller の実装 ALTIMA Corp. Nios II Vectored Interrupt Controller の実装 ver.1.0 2010 年 7 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 4 3-1. SOPC Builder の設定... 4 3-2. ペリフェラルの設定... 4 3-2-1. VIC の設定... 4 3-2-2.

More information

LR DEVICE Version 1.1 706434 / 01 04 / 2017 1................................................ 3 1.1............................................... 3 2................................................ 3

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本

Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております 以下に AuCE C3 出荷時の状態を示します AuCE C3 FPGA Cyclone III 基本 Nios II マイコン活用ガイド CHAPTER No:020Adv 対象品 : 目次 マイコンの動作を確認しましょう 2 ステップ 1 動作確認環境を準備する 6 ステップ 2 基本プログラムを起動する 9 ステップ 3 コマンドを入力する 11 1 Nios II マイコン活用ガイド マイコンの動作を確認しましょう AuCE C3 には 基本 CPU エンジン CPU0121C3880 と 対応する基本プログラムを書き込んで出荷しております

More information

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書

FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 説明書 C120-E285-10Z2 FUJITSU ULTRA LVD SCSI Host Bus Adapter Driver 3.0 - for Oracle Solaris - () FUJITSU ULTRA LVD SCSI Host Bus Adapter 3.0 SCSI/SAS SCSI/SAS HBA(Host Bus Adapter) WARNING:

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

REVISION 2.85(6).I 1

REVISION 2.85(6).I 1 REVISION 2.85(6).I 1 2 3 4 5 6 7 8 KDC300 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM 1枚 KTSync - XP, Vista,Windows7,

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

SH7216 グループ USB ファンクションモジュール USB コミュニケーションクラス アプリケーションノート

SH7216 グループ USB ファンクションモジュール USB コミュニケーションクラス アプリケーションノート SH7216 USB USB SH7216 USB USB USB SH7216 R01AN2201JJ0100 Rev.1.00 1.... 2 2.... 3 3. USB... 19 4.... 25 R01AN2201JJ0100 Rev.1.00 Page 1 of 26 SH7216 USB USB 1. SH7216 USB USB USB 1.1 INTC PFC USB USB SCI

More information

Express5800/i110Rc-1hユーザーズガイド

Express5800/i110Rc-1hユーザーズガイド 3 Press to enter SETUP Enter passord [ ] Main System Management Console Redirection Event Log Configuration Assert NMI on PERR: Assert NMI on SERR: FRB-2 Policy: Boot Monitoring: Boot Monitoring

More information

BIOS 設定書 BIOS 出荷時設定 BIOS 設定を工場出荷状態に戻す必要がある場合は 本書の手順に従って作業をおこなってください BIOS 設定を変更されていない場合は 本書の作業は必要ありません BIOS 出荷時設定は以下の手順でおこないます スタート A) BIOS の Setup Uti

BIOS 設定書 BIOS 出荷時設定 BIOS 設定を工場出荷状態に戻す必要がある場合は 本書の手順に従って作業をおこなってください BIOS 設定を変更されていない場合は 本書の作業は必要ありません BIOS 出荷時設定は以下の手順でおこないます スタート A) BIOS の Setup Uti BIOS 出荷時設定 BIOS 設定を工場出荷状態に戻す必要がある場合は 本書の手順に従って作業をおこなってください BIOS 設定を変更されていない場合は 本書の作業は必要ありません BIOS 出荷時設定は以下の手順でおこないます スタート A) BIOS の Setup Utility を起動 B) BIOS 設定をデフォルトに戻す C) 工場出荷時状態に再設定 D) 設定状態をセーブして終了

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

MF02_BIOS_R00.xls

MF02_BIOS_R00.xls Information Menu ( 情 報 メニュー) Information Menu CPU Type System Memory Extended Memory HDD Serial Number System BIOS Version VGA BIOS Version KBC Version Serial Number Asset Tag Number Product Name Manufacturer

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

補足情報

補足情報 1 危 険 警 告 注 意 2 3 4 5 6 7 8 1 2 3 4 5 9 6 7 8 9 10 10 1 2 11 1 12 1 2 13 3 4 14 1 2 15 3 4 5 16 1 2 3 17 1 2 3 4 18 19 20 21 22 23 1 2 3 4 5 24 6 7 8 9 10 25 26 27 28 6 1 2 7 8 9 3 4 5 29 1 2 警 告 3 4 5

More information

untitled

untitled FPGA SATA AE/ AVNET, INC. : 1921 : 1955 / : 1960 NYSE - AVT ( Sector : Technology ) CEO: Roy Vallee ( : : : 11,000 : KPMG LLP : 6 30 Fortune 500 ( 2006 212 ) InformationWeek 500 ( 2004 3 ) Fortune Top50

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

07-二村幸孝・出口大輔.indd

07-二村幸孝・出口大輔.indd GPU Graphics Processing Units HPC High Performance Computing GPU GPGPU General-Purpose computation on GPU CPU GPU GPU *1 Intel Quad-Core Xeon E5472 3.0 GHz 2 6 MB L2 cache 1600 MHz FSB 80 GFlops 1 nvidia

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

mbed_library_study_meeting_v1.0.key

mbed_library_study_meeting_v1.0.key mbed _mbed 2014 11 7 https://atnd.org/events/57766 version 1.0, 07-Nov.-2014 Tedd OKANO mbed - - 4.0 (^^; 1 mbed TEDD OKANO https://twitter.com/tedd_okano 10 I 2 C http://developer.mbed.org/users/okano/

More information

チュートリアル XP Embedded 入門編

チュートリアル XP Embedded 入門編 TUT-0057 Ver. 1.0 www.interface.co.jp Ver 1.0 2005 6 (,), Web site () / () 2004 Interface Corporation. All rights reserved. ...1...1 1. XP Embedded...2 2....3 2.1....3 2.2....4 2.2.1. SLD...4 2.3....5

More information

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co 072 DB Magazine 2007 September ~~~~~~~~~~~~~~~~~~ wait Call CPU time 1,055 34.7 latch: library cache 7,278 750 103 24.7 latch: library cache lock 4,194 465 111 15.3 job scheduler coordinator slave wait

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

C

C (1) (2) Play Volume (3) (4) (5) (6) (7) (8) Ctrl N Ctrl Ctrl (9) (10) OFF (11) (12) OFF (13) (14) 2 3 4 5 6 7 LCD LCD 8 TV ANT. S IN VIDEO IN LINE-L IN LINE-R IN 9 10 11 12 LCD LCD 13 LCD 14 LCD 15 LCD

More information

Version1.4

Version1.4 Version1.4 Version Date Version0.9 Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Disconnect Detect Test FS Signal Quality Test Packet Parameter Test Signal Quality Test L Signal Quality Test TDSUSB

More information

5 1 2 3 4 5 6 7 8 9 10 1 Quick Boot 1st Boot Device 2nd Boot Device 3rd Boot Device Network Boot Initial Display Mode S.M.A.R.T. for Hard Disks BootUp Num-Lock Password Check CPU Serial Number System BIOS

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

2

2 REVISION 2.85(6).I 2 3 4 5 8 24 32 37 83 87 88 88 89 90 1 91 1 6 7 8 KDC200 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート R01AN0544JU0240 Rev.2.40 RX600 RX200 API MCU API API RX 0xFF 3.10 API RX610 RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 1.... 2 2. API... 3 3.... 11 4.... 16 5. API... 18 6.... 32 R01AN0544JU0240

More information

Armadillo-9 ソフトウェアマニュアル

Armadillo-9 ソフトウェアマニュアル Software Manual http://www.atmark-techno.com/ http://armadillo.atmark-techno.com/ Armadillo-9 software manual ver.1.0.16 1.... 1 1.1.... 1 1.2.... 1 1.3.... 1 1.4.... 2 1.5.... 2 1.6.... 2 2.... 3 2.1....

More information

Quickstart Guide 3rd Edition

Quickstart Guide 3rd Edition 10 QNX QNX 1 2 3 4 5 QNX Momentics QNX Neutrino RTOS QNX Neutrino 6 7 8 QNX Neutrino 9 10 1 1 QNX Neutrino RTOS QNX Momentics Windows Vista Windows 2000 Windows XP Linux QNX Neutrino QNX Momentics CD http://www.qnx.co.jp/

More information

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2 I. Nios II Nios II 1 2 3 4 SOPC Builder Nios II Altera Corporation I 1 Nios II 1 4 Nios II Nios II / 1 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 2 2004 12 v1.2 ctl5 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 3 2004

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Mvk-xf6k.pm6

Mvk-xf6k.pm6 1 2 3 MVK-XF6K 25 1 2 26 MVK-XF6K 3 MVK-XF6K 27 1 2 3 4 28 MVK-XF6K 1 2 3 4 MVK-XF6K 29 1 2 30 MVK-XF6K 1 2 MVK-XF6K 31 1 2 32 MVK-XF6K 3 4 MVK-XF6K 33 MEMO STANDARD COMS SETUP BIOS FEATURES SETUP BIOS

More information

1 144

1 144 5 1 2 3 4 5 6 7 8 9 10 11 12 1 144 AMIBIOS SETUP - POWER MANAGEMENT SETUP (C)1999 American Megatrends, Inc. All Rights Reserved ACPI Standby State Power Management/APM Video Power Down Mode Hard Disk Power

More information

RTX830 取扱説明書

RTX830 取扱説明書 RTX830 JA 1 2 3 4 5 6 7 8 9 10 11 external-memory performance-test go 12 13 show config 14 15 16 17 18 19 20 save 21 22 23 24 25 26 27 save RTX830 BootROM Ver. 1.00 Copyright (c) 2017 Yamaha Corporation.

More information

Silicon Labs USB IC USB Audio Class =... 3 Silicon Labs USB IC... 3 USB Audio Class Codec/DAC... 7 CP CP211

Silicon Labs USB IC USB Audio Class =... 3 Silicon Labs USB IC... 3 USB Audio Class Codec/DAC... 7 CP CP211 The Intelligent Technology Company Silicon Labs USB IC = USB Audio Class = ELS100-00017 2015 6 Silicon Labs USB IC USB Audio Class =... 3 Silicon Labs USB IC... 3 USB Audio Class... 4 -... 4 - -... 5 -

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information