Handsout3.ppt

Size: px
Start display at page:

Download "Handsout3.ppt"

Transcription

1 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1

2 レジスタの分離 process (clk) begin if clk event and clk = 1 then Q 1 <= ; Q2 <= ; end if; end process; Q1,Q2 がレジスタになる. ( パターンをつかんでレジスタを認識する ) VHDL の場合 2

3 レジスタの分離 (posedge clk) then Q 1 <= ; Q2 <= ; end; Q1,Q2 がレジスタになる. ( パターンをつかんでレジスタを認識する ) Verilog-HDL の場合 3

4 !"#$%& ++ /!!" '#(#)*,-. 合成される初期回路 4

5 初期回路の合成 この部分を組み合わせ論理回路で表現したものが初期回路となる. 5つの入力 x1,x2,x3,x4,x5 2つの出力 y1,y2 (1) 真理値表を作る 二段論理回路 (2) 多段論理回路を直接合成 5

6 !"#"!"#$!"!"#%!$#"!$#$!$!$#% &$ &" &$ 6

7 !"!#!"!# ()&) (*&)!#!$ %&% %&% %'%!" (*&* ()&" (*&" ()&* 7

8 $& $' $% $& $%!" ()( $% $& ()(!# (*( Don t care/ 定数なので消去できる $# $" $# 8

9 二段論理最適化 n カルノーマップ (Karnaugh Map) n クワイン マクラスキ法 (Quine-McCluskey method) n ヒューリスティックな方法 u MINI, MINI II u ESPRESSO, ESPRESSO II u PRESTO, etc. 9

10 クワイン マクラスキ法 (Quine-McCluskey method) n 加法標準形から最も簡単な AND-OR 形論理式を求める組織的な方法. n カルノーマップによる簡単化を組織的にしたもの. n 最小カバー問題は素項の数に対して NP. n 素項の数は最悪指数 ( 指数の指数 ) n 手順 t 最小項の分類 t 圧縮操作による主項の導出 t 主項と最小項による包含図の作成 t 最小項を包含する必要最小限の主項の選択 t AND-OR 形論理式の作成 10

11 が付かないものが主項 (prime implicant) 最小項 (minterm) の分類圧縮操作による主項の導出 11

12 主項と最小項による包含図の作成 最小被覆問題は NP ハード 12

13 最小項を消去 最小項を消去 主項を消去 最小項を包含する必要最小限の主項の選択 13

14 Essential Term だけではすべての最小項を包含できない例 14

15 MINI n 併合 (Merge) n 否定 (Complement) n 拡大 (Expand), 縮小 (Reduce), 整形 (Reshape) n Repeat until number of terms not decreased. n 10~20 変数 数千リテラルまで現実的に扱える. n 厳密な計算量は不明. 15

16 (1) (2) 距離 1の積項を併合 Given Boolean function (3) Merge Expand 1,2 を拡大 Complement 16

17 (4) 4,5 を整形 (5) Reduce 3 を縮小 Reshape Reshape 6,7 を整形 (3 ) 8,9 を拡大 (5 ) Expand 17

18 n Factoring n Weak division n Functional decomposition n Local transformation n Satisfiability don t care (SDC) n Observability don t care (ODC) n Transduction method n Boolean relation 多段論理最適化 18

19 Factoring 19

20 Objective function w(h-1) 20

21 Local transformation 21

22 Local transformation cont d 22

23 Local transformation cont d De Morgan s Rule 23

24 Satisfiability don t care (SDC) n SDC = h y h = h(x1,,xn) z = z(x1,,xn,y) y は x1,,xn に依存するため, B の入力には決して生じない組み合わせが存在する C Design this function 24

25 A の真理値表!"#$$$$#!% & " B の真理値表 片方は Don t Care!"#$$$$#!%###& ( " '! ( C( 全体 ) の真理値表 A が出力するのは片方!"#$$$$#!% & ' SDC = h y A の回路が複数の関数を出力する場合は論理和をとればよい. 25

26 h1 = x1 x2, h2 = x1 + x2 z = x1 x2 z = y2 y1 26

27 Observability don t care Design this function C 27

28 y 入力は Don t Care なので, h 出力も Don t Care 同じ値になる x1,---,xn が存在する. B の真理値表 ( 固定 ) y=0,y=1 について z の値が同じなら 0 になる. 28

29 z = x1x2+yx3+yx2, y = x1x2+x1x3 29

30 h = x y + x y z w = h f = w + (y z + x y) 30

31 w + x y h = x y 31

32 n Permissible function Transduction method Satisfiability don t care とおなじ考え方. 32

33 33

34 次は, 論理関数の表現と操作について説明する. 34

35 用語 n 組み合わせ論理回路 combinational logic : 内部状態のない (F/F がない ) ロジック回路 n ブール関数 (Boolean Function) u 完全定義関数 completely sepecified function u 不完全定義関数 incompletely specified function u don t care u on-set, off-set n リテラル (literal) n 最小項 (minterm)(b) n キューブ (cube)(c),(d) 35

36 n Sum of minterms n Cannonical form n Truth-table n Implicant Terminology u A cube whose points are either in the on-set or the don t care. n Prime implicant u An implicant that is not include in any other implicant and has at least one point in the onset. 36

37 Irredundant prime covers The on-set of a Boolean function f in B 3 (a) and two possible covering by prime implicants(b,c). The on-set of the parity function in B 3 None of the points in the on-set of the parity function are adjacent. 37

Microsoft PowerPoint - 11.ppt

Microsoft PowerPoint - 11.ppt 多段論理合成 ( 前半概要 ) 第 章多段論理合成 年 月改訂 論理合成システム 積項を用いたファクタリング TVF 論理式の割り算 関数分解 回路の変換 //5 多段論理合成 //5 多段論理合成 LSI の設計システム 論理合成システム Loic Sntesis Sstem 半導体技術に独立 半導体技術に依存 動作記術機能記術 ネットリスト ネットリスト レイアウト 動作記述言語, 機能記述言語論理式,

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

2 ver.10.7 論理回路 ( 原理と設計 ) 3 1 3. 組み合わせ論理回路の簡単化 同じ論理関数でも 回路の段数の深さ 使う論理素子の総数など 基準の違いによって複雑さが異なる ( 回路の設計コストに影響する ) 論理関数を簡単化する方法はいろいろ知られているが 数変数程度の論理関数を簡単化するときに有効な方法としてカルノー図が知られている ( 実際の論理回路はもっと多変数であるから 実用的な方法のわけではない

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

PowerPoint Presentation

PowerPoint Presentation 鬼はどこですか? Proositional logic (cont ) 命題論理 Reasoning where is wumus 鬼がいる場所を推理する 1 命題論理 : 意味論 semantics 論理積 A B A かつ B 論理和 A B A または B 否定 A A でない 含意 A B A ならば B を意味する 同等 A B (A ならば B) かつ (B ならば A) 命題論理では記号は命題

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble 25 II 25 2 6 13:30 16:00 (1),. Do not open this problem boolet until the start of the examination is announced. (2) 3.. Answer the following 3 problems. Use the designated answer sheet for each problem.

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

Microsoft PowerPoint - ch1.ppt

Microsoft PowerPoint - ch1.ppt 論理回路 ( 基礎 ) 法政大学 情報科学部 大森健児 参考書 論理演算 () AND,OR,NOT,XOR AND OR NOT XOR 論理演算 (2) NAND,NOR NAND NOR 前提 結論 If A then B は A が真のとき B が真であるならば この文は真であり A が偽のときは B が真であろうとなかろうとこの文は真である A が真のとき B が偽であればこの文は偽である

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識 知識工学 II ( 第 回 ) 二宮崇 ( ninomiya@cs.ehime-u.ac.jp ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7. 知識に基づくエージェント知識ベース (knowledge base, KB): 文 の集合 他の 文 から導出されない

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

2

2 2011 8 6 2011 5 7 [1] 1 2 i ii iii i 3 [2] 4 5 ii 6 7 iii 8 [3] 9 10 11 cf. Abstracts in English In terms of democracy, the patience and the kindness Tohoku people have shown will be dealt with as an exception.

More information

Page 1 of 6 B (The World of Mathematics) November 20, 2006 Final Exam 2006 Division: ID#: Name: 1. p, q, r (Let p, q, r are propositions. ) (10pts) (a

Page 1 of 6 B (The World of Mathematics) November 20, 2006 Final Exam 2006 Division: ID#: Name: 1. p, q, r (Let p, q, r are propositions. ) (10pts) (a Page 1 of 6 B (The World of Mathematics) November 0, 006 Final Exam 006 Division: ID#: Name: 1. p, q, r (Let p, q, r are propositions. ) (a) (Decide whether the following holds by completing the truth

More information

Microsoft PowerPoint - LogicCircuits01.pptx

Microsoft PowerPoint - LogicCircuits01.pptx 論理回路 第 回論理回路の数学的基本 - ブール代数 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 本科目の内容 電子計算機 computer の構成 ソフトウェア 複数のプログラムの組み合わせ オペレーティングシステム アプリケーション等 ハードウェア 複数の回路 circuit の組み合わせ

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Microsoft Word - PCM TL-Ed.4.4(特定電気用品適合性検査申込のご案内)

Microsoft Word - PCM TL-Ed.4.4(特定電気用品適合性検査申込のご案内) (2017.04 29 36 234 9 1 1. (1) 3 (2) 9 1 2 2. (1) 9 1 1 2 1 2 (2) 1 2 ( PSE-RE-101/205/306/405 2 PSE-RE-201 PSE-RE-301 PSE-RE-401 PSE-RE-302 PSE-RE-202 PSE-RE-303 PSE-RE-402 PSE-RE-203 PSE-RE-304 PSE-RE-403

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

-like BCCWJ CD-ROM CiNii NII BCCWJ BCCWJ

-like BCCWJ CD-ROM CiNii NII BCCWJ BCCWJ -like BCCWJ CD-ROM CiNii NII BCCWJ BCCWJ BCCWJ Yahoo! Yahoo! BCCWJ BCCWJ BCCWJ BOAO PS Zipper CLASSY with Oggi Precious JJ GINZA Domani Precious Oggi ViVi GINZA BCCWJ NEXTSTEP Windows XP FD ELO KIDDIES

More information

Microsoft PowerPoint - ca ppt [互換モード]

Microsoft PowerPoint - ca ppt [互換モード] 大阪電気通信大学情報通信工学部光システム工学科 2 年次配当科目 コンピュータアルゴリズム 良いアルゴリズムとは 第 2 講 : 平成 20 年 10 月 10 日 ( 金 ) 4 限 E252 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/ 第 1 講の復習

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

<4D F736F F F696E74202D208CA48B868FD089EE288FDA82B582A294C5292E B8CDD8AB B83685D>

<4D F736F F F696E74202D208CA48B868FD089EE288FDA82B582A294C5292E B8CDD8AB B83685D> フィルタリングルール最適化問題の解法ル最適化問題の解法 神奈川大学理学部情報科学科 田中研究室 インターネットの仕組み IP アドレス - パケット 00 送り先 IPアドレス発信元 IPアドレスを含む 確実に相手に届く ルータ ルータ 00 IP アドレス ルータ自宅.55.5. ルータ 大学.7.5.0 インターネットの仕組み パケット - ルータ 00 00 ルータ パケット 00 000 00

More information

評論・社会科学 90号(よこ)(P)/4.咸

評論・社会科学 90号(よこ)(P)/4.咸 1 2008 7 1 1 4 5 1 1998 2003 2005 3 2 2008 7 1 2009 7 30 2009 11 18 2009 2009 2008 1 1 1 1 65 1970 3.1 2000 7.2 7 2008 10.7 14 2018 1 2 1985 41.92008 50.0 2004 15 59 2003 2010 79 2020 114 OECD 1 65 2006

More information

このスライドは以下の URL からダウンロード可能です 2

このスライドは以下の URL からダウンロード可能です   2 計算機学 伊藤彰則 aito@spcom.ecei.tohoku.ac.jp @akinori_ito 1 このスライドは以下の URL からダウンロード可能です http://www.spcom.ecei.tohoku.ac.jp/~aito/comp/ 2 参考書の紹介 計算機学入門デジタル世界の原理を学ぶ阿曽弘具著共立出版 2,900 以前教科書だった本の改訂版 講義の内容と一致しており 説明は詳しい

More information

n 2 n (Dynamic Programming : DP) (Genetic Algorithm : GA) 2 i

n 2 n (Dynamic Programming : DP) (Genetic Algorithm : GA) 2 i 15 Comparison and Evaluation of Dynamic Programming and Genetic Algorithm for a Knapsack Problem 1040277 2004 2 25 n 2 n (Dynamic Programming : DP) (Genetic Algorithm : GA) 2 i Abstract Comparison and

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

27 1 NP NP-completeness of Picross 3D without segment-information and that with height of one

27 1 NP NP-completeness of Picross 3D without segment-information and that with height of one 27 1 NP NP-completeness of Picross 3D without segment-information and that with height of one 115282 216 2 26 1 NP.,,., NP, 1 P.,, 1 NP, 3-SAT., NP, i Abstract NP-completeness of Picross 3D without segment-information

More information

9_89.pdf

9_89.pdf 101 On the Complement Structure of Bare Infinitive Verbs Kazuko INOUE The purpose of this paper is to argue that the infinitival and participial complements of perception verbs and causative verb have,

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

スライド 1

スライド 1 ブール代数 ブール代数 集合 { 0, 1 } の上で演算 AND, OR, NOT からなる数学的体系 何のため? ある演算をどのような回路で実現すればよいのか? どうすれば回路が小さくなるのか? どうすれば回路が速く動くのか? 3 復習 : 真理値表とゲート記号 真理値表 A B A B 0 0 0 0 1 0 1 0 0 1 1 1 A B A+B 0 0 0 0 1 1 1 0 1 1 1

More information

untitled

untitled 2011 2 9 Akamai Technologies, Inc., et al., Plaintiff Appellant, v. Limelight Networks, Inc., Defendant-Cross Appellant. 1 ( ) 2 3 CAFC BMC 4 (control or 3 Aro Mfg. Co. v. Convertible Top Replacement Co.,

More information

浜松医科大学紀要

浜松医科大学紀要 On the Statistical Bias Found in the Horse Racing Data (1) Akio NODA Mathematics Abstract: The purpose of the present paper is to report what type of statistical bias the author has found in the horse

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

16_.....E...._.I.v2006

16_.....E...._.I.v2006 55 1 18 Bull. Nara Univ. Educ., Vol. 55, No.1 (Cult. & Soc.), 2006 165 2002 * 18 Collaboration Between a School Athletic Club and a Community Sports Club A Case Study of SOLESTRELLA NARA 2002 Rie TAKAMURA

More information

COMPUTING THE LARGEST EMPTY RECTANGLE

COMPUTING THE LARGEST EMPTY RECTANGLE COMPUTING THE LARGEST EMPTY RECTANGLE B.Chazelle, R.L.Drysdale and D.T.Lee SIAM J. COMPUT Vol.15 No.1, February 1986 2012.7.12 TCS 講究関根渓 ( 情報知識ネットワーク研究室 M1) Empty rectangle 内部に N 個の点を含む領域長方形 (bounding

More information

joho09.ppt

joho09.ppt s M B e E s: (+ or -) M: B: (=2) e: E: ax 2 + bx + c = 0 y = ax 2 + bx + c x a, b y +/- [a, b] a, b y (a+b) / 2 1-2 1-3 x 1 A a, b y 1. 2. a, b 3. for Loop (b-a)/ 4. y=a*x*x + b*x + c 5. y==0.0 y (y2)

More information

現代社会文化研究

現代社会文化研究 No.37 2006 12 Abstract An organization follows a strategy, if this is true, an manager leads a strategy with his or her principles and faith. The manager s principles create an systematic union and work

More information

AtCoder Regular Contest 073 Editorial Kohei Morita(yosupo) A: Shiritori if python3 a, b, c = input().split() if a[len(a)-1] == b[0] and b[len(

AtCoder Regular Contest 073 Editorial Kohei Morita(yosupo) A: Shiritori if python3 a, b, c = input().split() if a[len(a)-1] == b[0] and b[len( AtCoder Regular Contest 073 Editorial Kohei Morita(yosupo) 29 4 29 A: Shiritori if python3 a, b, c = input().split() if a[len(a)-1] == b[0] and b[len(b)-1] == c[0]: print( YES ) else: print( NO ) 1 B:

More information

The Effect of the Circumferential Temperature Change on the Change in the Strain Energy of Carbon Steel during the Rotatory Bending Fatigue Test by Ch

The Effect of the Circumferential Temperature Change on the Change in the Strain Energy of Carbon Steel during the Rotatory Bending Fatigue Test by Ch The Effect of the Circumferential Temperature Change on the Change in the Strain Energy of Carbon Steel during the Rotatory Bending Fatigue Test by Chikara MINAMISAWA, Nozomu AOKI (Department of Mechanical

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

109 Summary The purpose of this paper is to make clear two points. The first one is the history of understandings of the environmental benefits from agriculture in Japan. In 1971 the first comment on the

More information

Functional Programming

Functional Programming PROGRAMMING IN HASKELL プログラミング Haskell Chapter 7 - Higher-Order Functions 高階関数 愛知県立大学情報科学部計算機言語論 ( 山本晋一郎 大久保弘崇 2013 年 ) 講義資料オリジナルは http://www.cs.nott.ac.uk/~gmh/book.html を参照のこと 0 Introduction カリー化により

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

null element [...] An element which, in some particular description, is posited as existing at a certain point in a structure even though there is no

null element [...] An element which, in some particular description, is posited as existing at a certain point in a structure even though there is no null element [...] An element which, in some particular description, is posited as existing at a certain point in a structure even though there is no overt phonetic material present to represent it. Trask

More information

Microsoft PowerPoint - mp11-06.pptx

Microsoft PowerPoint - mp11-06.pptx 数理計画法第 6 回 塩浦昭義情報科学研究科准教授 shioura@dais.is.tohoku.ac.jp http://www.dais.is.tohoku.ac.jp/~shioura/teaching 第 5 章組合せ計画 5.2 分枝限定法 組合せ計画問題 組合せ計画問題とは : 有限個の もの の組合せの中から, 目的関数を最小または最大にする組合せを見つける問題 例 1: 整数計画問題全般

More information

RQT8189-S.indd

RQT8189-S.indd A Operating Instructions Portable CD Player SL-CT730 BATTERY CARRYING CASE SL-CT730 SL-CT830 RQT8189-S F0805SZ0 OPEN OPEN + - + - DC IN SL-CT730SL-CT830 DC IN EXT BATT DC IN () SL-CT730 SL-CT830 SL-CT730

More information

p p p

p p p p p p p p p p p p p p p p p p Summary TAKEDA RyuichiNAGAO Naoshige : Translation with notes ofittousai sensei kenpousyo and some considerations from the sport pedagogic viewpoint This report is the modern

More information

レイアウト 1

レイアウト 1 1 1 3 5 25 41 51 57 109 2 4 Q1 A. 93% 62% 41% 6 7 8 Q1-(1) Q2 A. 24% 13% 52% Q3 Q3 A. 68% 64 Q3-(2) Q3-(1) 9 10 A. Q3-(1) 11 A. Q3-(2) 12 A. 64% Q4 A. 47% 47% Q5 QQ A. Q Q A. 13 QQ A. 14 Q5-(1) A. Q6

More information

10_11p01(Ł\”ƒ)

10_11p01(Ł\”ƒ) q q q q q q q q q q q q q q q q q q q q q q q q q qq q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q q

More information

CASHLESSMEDICAL SERVICE I, the undersigned, hereby irrevocably authorize the Medical Service Providers to file a claim for and on behalf of me and/or

CASHLESSMEDICAL SERVICE I, the undersigned, hereby irrevocably authorize the Medical Service Providers to file a claim for and on behalf of me and/or 1 CASHLESSMEDICAL SERVICE I, the undersigned, hereby irrevocably authorize the Medical Service Providers to file a claim for and on behalf of me and/or the Insured Patient, for the costs of medical services

More information

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth and Foot Breadth Akiko Yamamoto Fukuoka Women's University,

More information

Microsoft PowerPoint - 6.PID制御.pptx

Microsoft PowerPoint - 6.PID制御.pptx プロセス制御工学 6.PID 制御 京都大学 加納学 Division of Process Control & Process Systems Engineering Department of Chemical Engineering, Kyoto University manabu@cheme.kyoto-u.ac.jp http://www-pse.cheme.kyoto-u.ac.jp/~kano/

More information

The Key Questions about Today's "Experience Loss": Focusing on Provision Issues Gerald ARGENTON These last years, the educational discourse has been focusing on the "experience loss" problem and its consequences.

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Pari-gp /7/5 1 Pari-gp 3 pq

Pari-gp /7/5 1 Pari-gp 3 pq Pari-gp 3 2007/7/5 1 Pari-gp 3 pq 3 2007 7 5 Pari-gp 3 2007/7/5 2 1. pq 3 2. Pari-gp 3. p p 4. p Abel 5. 6. 7. Pari-gp 3 2007/7/5 3 pq 3 Pari-gp 3 2007/7/5 4 p q 1 (mod 9) p q 3 (3, 3) Abel 3 Pari-gp 3

More information

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n を入力してもらい その後 1 から n までの全ての整数の合計 sum を計算し 最後にその sum

More information

論理学入門 講義ノート email: mitsu@abelardfletkeioacjp Copyright c 1995 by the author ll right reserved 1 1 3 2 5 3 7 31 7 32 9 33 13 4 29 41 33 42 38 5 45 51 45 52 47 3 1 19 [ 1] Begin at the beginning [ 2] [

More information

練習&演習問題

練習&演習問題 練習問題 ファイル入出力 練習問題 1 ファイルへのデータ出力 配列 a[ ] の値をファイル data.txt に出力するプログラムを作成しなさい #include #include /* srand(), rand() */ #include /* time() */ int main(void) { int i; double a[5];

More information

yy yy ;; ;; ;; ;; ;; ;; ;; ;; ;; ;; ;; ;; ;; ;; ;;; ;;; ;;; ;;; ;;; ;;; ;;; ;;; ;;; ;;; ;;; ;;; ; ; ; ;; ;; ;; ;;; ;;; ;;; ;; ;; ;; ;; ;; ; ; ; ; ; ; ;

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

"lmportance of Community Work Function in Care-management Practice" OWADA Takesi The essence of care-management and the duty of care manag:ers are to support home care with making fu1i use of the social

More information

22 2016 3 82 1 1

22 2016 3 82 1 1 : 81 1 2 3 4 1990 2015 22 2016 3 82 1 1 83 : 2 5 84 22 2016 3 6 3 7 8 2 : 85 1 S 12 S S S S S S S S S 86 22 2016 3 S S S S S S S S 2 S S : 87 S 9 3 2 1 10 S 11 22 2016 3 88 1 : 89 1 2 3 4 90 22 2016 3

More information

融合規則 ( もっとも簡単な形, 選言的三段論法 ) ll mm ll mm これについては (ll mm) mmが推論の前提部になり mmであるから mmは常に偽となることがわかり ll mmはllと等しくなることがわかる 機械的には 分配則より (ll mm) mm (ll mm) 0 ll m

融合規則 ( もっとも簡単な形, 選言的三段論法 ) ll mm ll mm これについては (ll mm) mmが推論の前提部になり mmであるから mmは常に偽となることがわかり ll mmはllと等しくなることがわかる 機械的には 分配則より (ll mm) mm (ll mm) 0 ll m 知識工学 ( 第 5 回 ) 二宮崇 ( ninomiya@cs.ehime-u.ac.jp ) 論理的エージェント (7 章のつづき ) 証明の戦略その 3 ( 融合法 ) 証明の戦略その 1 やその 2 で証明できたときは たしかにKKKK ααとなることがわかるが なかなか証明できないときや 証明が本当にできないときには KKKK ααが成り立つのか成り立たないのかわからない また どのような証明手続きを踏めば証明できるのか定かではない

More information

Microsoft PowerPoint - #07 Quiz Are you still with me .pptx

Microsoft PowerPoint - #07 Quiz Are you still with me .pptx Quiz: Are You Still With Me? Takumi Information Technology Maiko Akutagawa Copyright Takumi Information Techonology CORPORATION, All rights reserved. What s Direct Identifier? -level1 Is it compulsory

More information

Taro-数値計算の誤差(公開版)

Taro-数値計算の誤差(公開版) 0. 目次 1. 情報落ち 計算のルールを 10 進 4 桁 切り捨て と仮定する 2 つの数の加算では まず小数点が合わされ 大きい数が優先される したがって 12.34 + 0.005678 は 12.34 と計算される このように 絶対値の小さい数を絶対値の大きい数に加えてもほとんど影響を与えない現象を情報落ちという 2. オーバーフロー アンダーフロー 計算結果の絶対値がコンピュータの処理できる最大の数を越えてしまう現象をオーバーフローという

More information

Vol. 42 No MUC-6 6) 90% 2) MUC-6 MET-1 7),8) 7 90% 1 MUC IREX-NE 9) 10),11) 1) MUCMET 12) IREX-NE 13) ARPA 1987 MUC 1992 TREC IREX-N

Vol. 42 No MUC-6 6) 90% 2) MUC-6 MET-1 7),8) 7 90% 1 MUC IREX-NE 9) 10),11) 1) MUCMET 12) IREX-NE 13) ARPA 1987 MUC 1992 TREC IREX-N Vol. 42 No. 6 June 2001 IREX-NE F 83.86 A Japanese Named Entity Extraction System Based on Building a Large-scale and High-quality Dictionary and Pattern-matching Rules Yoshikazu Takemoto, Toshikazu Fukushima

More information

展開とプロビジョニングの概念

展開とプロビジョニングの概念 ADOBE CREATIVE SUITE 5 2010 Adobe Systems Incorporated and its licensors. All rights reserved. Adobe Creative Suite Deployment and Provisioning Concepts This guide is licensed for use under the terms of

More information

2000 129 1.... 1 2.... 1 2.1 3 (1) Checklist for Proposers (Form I) 3 (2) Cover Page (Form A) 3 (3) Proposal Executive Summary (Form B) 3 (4) Project Signature Page (Form C) 3 (5) Project Description 3

More information

2

2 from One 1 2 24 2 3 4 30 4 5 47 13 6 7 34 2 13 8 34.................................. 9 15-1-5 15-1-4 10 11 12 12 13 14 15 A ( 1) A A 2 B B 16 2 2 17 3 C C 18 3 19 ( ) 15 2 5 ( 56 2 16 20 2 5 ) (1) (2)

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

2 194

2 194 32 2008 pp. 193 210 1 Received October 31, 2008 The Japanese auxiliary verbs in benefactive construction can be classified in terms of the following two semantic functions: ones that only represent the

More information

Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の break; まで処理しますどれにも一致致しない場合 def

Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の break; まで処理しますどれにも一致致しない場合 def Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の まで処理しますどれにも一致致しない場合 default: から直後の まで処理します 式の結果 ラベル 定数 整数または文字 (byte, short, int,

More information

11モーゲージカンパニー研究論文.PDF

11モーゲージカンパニー研究論文.PDF 2003 Outline of the Study 1. Purpose Housing finance in Japan is now at a turning point because the Government Housing Loan Corporation (the HLC) is scheduled to become an independent administrative

More information

Microsoft Word - sample_adv-programming.docx

Microsoft Word - sample_adv-programming.docx サンプル問題 以下のサンプル問題は包括的ではなく 必ずしも試験を構成するすべての種類の問題を表すとは限りません 問題は 個人が認定試験を受ける準備ができているかどうかを評価するためのものではありません SAS Advanced Programming for SAS 9 問題 1 次の SAS データセット ONE と TWO があります proc sql; select one.*, sales

More information

橡最終原稿.PDF

橡最終原稿.PDF GIS Simulation analysis of disseminate of disaster information using GIS * ** *** Toshitaka KATADAJunsaku ASADA and Noriyuki KUWASAWA GIS GIS AbstractWe have developed the simulation model expressing the

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

005 1571 1630 17 1546 1601 16 1642 1727

005 1571 1630 17 1546 1601 16 1642 1727 I Takamitsu Sawa / 1561~1626 004 2010 / No.384 005 1571 1630 17 1546 1601 16 1642 1727 006 2010 / No.384 confirm refute verify significant 1902 1994 piecemeal engineering 1958 historicism 20 007 1990 90

More information

Microsoft Word - .....J.^...O.|Word.i10...j.doc

Microsoft Word - .....J.^...O.|Word.i10...j.doc P 1. 2. R H C H, etc. R' n R' R C R'' R R H R R' R C C R R C R' R C R' R C C R 1-1 1-2 3. 1-3 1-4 4. 5. 1-5 5. 1-6 6. 10 1-7 7. 1-8 8. 2-1 2-2 2-3 9. 2-4 2-5 2-6 2-7 10. 2-8 10. 2-9 10. 2-10 10. 11. C

More information

橡SPA2000.PDF

橡SPA2000.PDF XSLT ( ) d-oka@is.s.u-tokyo.ac.jp ( ) hagiya@is.s.u-tokyo.ac.jp XSLT(eXtensible Stylesheet Language Transformations) XML XML XSLT XSLT XML XSLT XML XSLT XML XML XPath XML XSLT XPath XML XSLT,XPath 1 XSLT([6])

More information

ÿþ

ÿþ Abstract The aim of this paper is to describe 1. how media, especially mobile phones, have been utilized in childrearing practices, and 2. how the process of adoption influences the placement of techno-social

More information

43-1 伊藤潔志.pwd

43-1 伊藤潔志.pwd Aabye Kierkegaard, 1813 1855 den ligefremme Meddelse den indirecte Meddelse Subjektivitet Gilleleje Kierkegaards Samlede udg. af A. B. Drachmann, J. L. Heiberg og H. O. Lange, Gyldengal, 1962 1964. SV

More information

MIDI_IO.book

MIDI_IO.book MIDI I/O t Copyright This guide is copyrighted 2002 by Digidesign, a division of Avid Technology, Inc. (hereafter Digidesign ), with all rights reserved. Under copyright laws, this guide may not be duplicated

More information

220 28;29) 30 35) 26;27) % 8.0% 9 36) 8) 14) 37) O O 13 2 E S % % 2 6 1fl 2fl 3fl 3 4

220 28;29) 30 35) 26;27) % 8.0% 9 36) 8) 14) 37) O O 13 2 E S % % 2 6 1fl 2fl 3fl 3 4 Vol. 12 No. 2 2002 219 239 Λ1 Λ1 729 1 2 29 4 3 4 5 1) 2) 3) 4 6) 7 27) Λ1 701-0193 288 219 220 28;29) 30 35) 26;27) 0 6 7 12 13 18 59.9% 8.0% 9 36) 8) 14) 37) 1 1 1 13 6 7 O O 13 2 E S 1 1 17 0 6 1 585

More information

B_01田中.indd

B_01田中.indd A Study on the Image of the City in Contemporary Korea: Democracy and Busan Satoru Tanaka Abstract Busan has been the biggest harbor city in Korea, and ranked as one of the centers in democratization history

More information