INTERNATIONAL

Size: px
Start display at page:

Download "INTERNATIONAL"

Transcription

1 INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2013 年 版 配 線 THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS OR EQUIPMENT.

2 ITRS の 共 同 スポンサーは ESIA, JEITA, KSIA, TSIA, SIA です

3 訳 者 まえがき この 文 書 は International Technology Roadmap for Semiconductors 2013 Edition( 国 際 半 導 体 技 術 ロ ードマップ 2013 年 版 ) 本 文 の 日 本 語 訳 である 国 際 半 導 体 技 術 ロードマップ(International Technology Roadmap for Semiconductors, 以 下 ITRS と 表 記 )は 米 国 日 本 欧 州 韓 国 台 湾 の 世 界 5 極 の 専 門 家 によって 編 集 作 成 されている 日 本 では 半 導 体 技 術 ロードマップ 専 門 委 員 会 (STRJ)が 電 子 情 報 技 術 産 業 協 会 (JEITA) 内 に 組 織 され 日 本 国 内 で 半 導 体 技 術 ロードマップについての 調 査 活 動 を 行 うとともに ITRS の 編 集 作 成 に 貢 献 している S TRJ 内 には 15 のワーキンググループ(WG: Working Group)が 組 織 され 半 導 体 集 積 回 路 メーカ 半 導 体 製 造 装 置 メーカ 材 料 メーカ 大 学 独 立 行 政 法 人 コンソーシアムなどから 専 門 家 が 集 まり それぞ れの 専 門 分 野 の 調 査 活 動 を 行 っている ITRS は 改 版 を 重 ねるごとにページ 数 が 増 え 2013 年 版 は 英 文 で 1000 ページを 越 える 文 書 となった このような 大 部 の 文 書 を 原 文 で 読 み 通 すことは 専 門 家 でも 多 大 な 労 力 を 要 するし 専 門 家 であっても 技 術 分 野 が 少 し 異 なると ITRS を 理 解 することは 必 ずしも 容 易 でない STRJ の 専 門 委 員 がその 専 門 分 野 に 応 じて ITRS を 訳 出 することで ITRS をより 親 しみやすいものにすることができるのではないかと 考 え ている なお ITRS 2005 年 版 ( 英 語 の 原 書 )までは ウェブ 公 開 とともに 印 刷 された 本 としても 出 版 していた が ITRS 2007 年 版 以 降 は 印 刷 コストが 大 きくなってきたこと ウェブ 上 で 無 料 公 開 されている 文 書 の 出 版 版 を 本 の 形 で 有 償 頒 布 しても 需 要 が 限 られることなどのため 印 刷 物 の 形 での 出 版 を 断 念 し ウェブ 公 開 のみとなった ITRS の 読 者 の 皆 様 にはご 不 便 をおかけするが ご 理 解 願 いたい ITRS 2009 年 版 以 降 電 子 媒 体 で ITRS を 公 開 することを 前 提 に 編 集 を 進 め ITRS の 表 は 原 則 として Microsoft Excel のファイルとして 作 成 し そのまま 公 開 することにした ITRS は 英 語 で 書 かれている 日 本 語 訳 の 作 成 は STRJ 委 員 が 分 担 してこれにあたり JEITA の ST RJ 担 当 事 務 局 が 全 体 の 取 りまとめを 行 った 訳 語 については できる 限 り 統 一 するように 努 めたが なお 統 一 が 取 れていないところもある また 訳 者 によって 文 体 が 異 なるところもある ITRS の 原 文 自 体 も 多 くの 専 門 家 による 分 担 執 筆 であり そもそも 原 文 の 文 体 も 一 定 していないことも ご 理 解 いただきたい 誤 訳 誤 字 脱 字 などが 無 いよう 細 心 の 注 意 をしているが 短 期 間 のうちに 訳 文 を 作 成 しているため な お 間 違 いが 含 まれていると 思 う また 翻 訳 の 過 程 で 原 文 のニュアンスが 変 化 してしまうこともある 訳 文 についてお 気 づきの 点 や ITRS についてのご 批 判 ご 意 見 などを 事 務 局 まで 連 絡 いただけますよう お 願 い 申 し 上 げます 今 回 の 訳 出 にあたっては ITRS の 本 文 の 部 分 のみとし ITRS 内 の 図 や 表 の 内 部 は 英 文 のまま 掲 載 することとした Overview の 冒 頭 の 謝 辞 (Acknowledgments)に ITRS の 編 集 にかかわった 方 々の 氏 名 が 書 かれているが ここも 訳 出 していない また ITRS 2013 年 版 では 各 章 の 要 約 (Summary)を 別 のフ ァイルとして 作 成 し 公 開 しているが 今 回 はこれを 訳 出 していない 要 約 (Summary)は 原 則 として 本 文 の 抜 粋 となっていて 本 文 の 日 本 語 訳 があれば 日 本 の 読 者 にとっては 十 分 と 考 えたためである 原 文 中 の 略 語 については できるかぎり 初 出 の 際 に ITRS(International Technology Roadmap for Semiconductors) のように() 内 に 原 義 を 示 すようにした 英 文 の 略 号 をそのまま 使 わないで 技 術 用 語 を 訳 出 する 際 原 語 を 引 用 したほうが 適 切 と 考 えられる 場 合 には 国 際 半 導 体 技 術 ロードマップ(ITRS: I nternational Technology Roadmap for Semiconductors 以 下 ITRS と 表 記 ) 国 際 半 導 体 技 術 ロードマッ プ(International Technology Roadmap for Semiconductors) のように 和 訳 の 後 に() 内 に 原 語 やそれに 対 応 する 略 語 を 表 示 した Executive Summary の 用 語 集 (Glossary)も 参 照 されたい 原 文 の 括 弧 ()があっ てそれを 訳 するために 括 弧 を 使 った 場 合 もあるが 前 後 の 文 脈 の 関 係 で 判 別 できると 思 う また 訳 注 は 訳 者 注 :この 部 分 は 訳 者 の 注 釈 であることを 示 す のように 内 に 表 記 した また[] 内 の 部 分 は 訳 者 が 原 文 にない 言 葉 をおぎなった 部 分 であることを 示 している 訳 文 は 厳 密 な 逐 語 訳 ではなく 日 本 語 として 読 んで 意 味 が 通 りやすいように 意 訳 している ITRS のウェブ 版 ではハイパーリンクが 埋 め 込 まれて

4 いるが 今 回 の 日 本 語 版 ではハイパーリンクは 原 則 として 削 除 した 読 者 の 皆 様 には 不 便 をおかけする が ご 理 解 いただけば 幸 いである 今 回 の 日 本 語 訳 作 成 にあたり 編 集 作 業 を 担 当 いただいた JEITA 内 SRTJ 事 務 局 の 幾 見 宣 之 さん 関 口 美 奈 さんには 大 変 お 世 話 になりました 厚 くお 礼 申 し 上 げます より 多 くの 方 に ITRS をご 活 用 いただきたいとの 思 いから 今 回 の 翻 訳 作 業 を 進 めました 今 後 とも IT RS と STRJ へのご 理 解 とご 支 援 をよろしくお 願 い 申 し 上 げます 2014 年 7 月 訳 者 一 同 を 代 表 して 電 子 情 報 技 術 産 業 協 会 (JEITA) 半 導 体 部 会 半 導 体 技 術 ロードマップ 専 門 委 員 会 (STRJ) 委 員 長 石 内 秀 美 ( 株 式 会 社 東 芝 ) 版 権 について U ORIGINAL (ENGLISH VERSION) COPYRIGHT 2014 SEMICONDUCTOR INDUSTRY ASSOCIATION All rights reserved ITRS SEMATECH, Inc., 257 Fuller Road, Albany, NY Japanese translation by the JEITA, Japan Electronics and Information Technology Industries Association under the license of the Semiconductor Industry Association - 引 用 する 場 合 の 注 意 - 原 文 ( 英 語 版 )から 引 用 する 場 合 : ITRS 2013 Edition, Chaper XX, page YY, Figure(Table) ZZ この 日 本 語 訳 から 引 用 する 場 合 : ITRS 2013 Edition (JEITA 訳 )XX 章 YY 頁, 図 ( 表 ) ZZ のように 明 記 してください 問 合 せ 先 : 一 般 社 団 法 人 電 子 情 報 技 術 産 業 協 会 半 導 体 技 術 ロードマップ 専 門 委 員 会 事 務 局 電 話 : 電 子 メール:HU roadmap@jeita.or.jpu

5 目 次 1 概 要 序 論 年 版 の 変 更 点 要 旨 困 難 な 技 術 課 題 配 線 アーキテクチャ 三 次 元 (3D) 配 線 アーキテクチャ 受 動 素 子 More Moore と More than Moore 信 頼 性 および 性 能 信 頼 性 序 論 配 線 金 属 の 信 頼 性 絶 縁 信 頼 性 配 線 特 性 プロセスモジュール 絶 縁 膜 解 決 策 候 補 拡 散 防 止 (バリア) 膜 解 決 策 候 補 膜 成 長 核 形 成 解 決 策 候 補 導 電 体 膜 解 決 策 候 補 エッチング / レジスト 除 去 / 洗 浄 解 決 策 候 補 平 坦 化 解 決 策 候 補 Si 貫 通 ビア(TSV) 3D 積 層 化 技 術 新 規 配 線 の 現 実 解 概 論 銅 (Cu) 配 線 の 置 き 換 え Si CMOS 互 換 光 配 線 と I/O 超 伝 導 体 ワイヤレス 配 線 Si CMOS 代 替 技 術 と 配 線 との 関 連 事 項 分 野 をまたがる 問 題 環 境 安 全 健 康 (ESH) チップ-パッケージ 相 互 干 渉 (CPI) 付 録 受 動 素 子 絶 縁 膜 補 足 RC 遅 延 評 価 に 適 用 された 配 線 モデル 補 足 D と TSV 定 義 の 用 語 集 参 考 文 献... 93

6 図 のリスト Figure INTC1 Typical Cross-sections of Hierarchical Scaling (MPU Device (left), ASIC (middle) and Flash memory (right))...4 Figure INTC2 Typical ILD Architectures...6 Figure INTC3 Schematic Cross-sections of TSV First and Middle/Last Process Flows...9 Figure INTC4 Schematic Representation of the Various Key Process Modules and 3Dstacking Options when using Through-Si-Via 3D-SIC Technologies...11 Figure INTC5 Schematic Representation of the Various Key Process Modules and 3Dstacking Options when using Through-Si-Via 3D-WLP Technologies...12 Figure INTC6 Experiment and model of lifetime scaling versus interconnect geometry [8]...19 Figure INTC7 Evolution of lifetime vs. technology node. Black line shows trend for reduced critical void volume: Green line shows the EM enhancement urgently needed (Courtesies of A. Aubel/GLOBALFOUNDRIES)...20 Figure INTC8 Calculation Model for J max (The maximum equivalent dc current expected to appear in a high-performance digital circuit divided by the crosssectional area of an intermediate wire.)...21 Figure INTC9 Evolution of J max (from device requirement) and J EM (from targeted lifetime)...21 Figure INTC10 Comparison of the Lifetime Improvement versus the Resistivity Increase for Different EM Resistance Booster Technologies [11]...22 Figure INTC11 Comparison of EM lifetime for Cu and CuMn interconnects at 0.1% (NSD = -3) as a function of line height h x via size d for various technologies. CuMn significantly enhances the EM lifetime for 40nm and 28nm nodes to levels exceeding the Cu 65nm node [29]...24 Figure INTC12 Degradation paths in Low-κ damascene structure...25 Figure INTC13 Impact of CMP and post CMP delay time on dielectric breakdown: Dielectric breakdown voltage decreases as post Cu CMP delay time increases [2]...26 Figure INTC14 Impact of plasma process on Low-κ TDDB [7]...27 Figure INTC15 Effect of pore sealing on Low-κ reliability: breakdown electric field at T=100 C for a 50nm dielectric spacing of PEBO- and PCBO-integrated porous SiLK TM [8]...27 Figure INTC16 Impact of bulk Low-κ property on Low-κ reliability: Leakage current density versus applied electric field for two CVD Low-κ after curing and after curing and porogen removal by He/H 2 plasma [10]...27 Figure INTC17 Cross-section and top-down schematics of Low-κ planar capacitor structure designed for intrinsic TDDB study of barrier/low-κ for damascene integration [11]...28 Figure INTC18 Likelihood ratio of the simultaneous fits of all lifetime models for the 4 data sets of TABLE I with κ 2.5. E-model was used as a reference and its likelihood ratio is 1 by definition [19]...29 Figure INTC19 Trap spectroscopy of a κ=2.0 Low-κ dielectric [31]...30

7 Figure INTC20 Schematic representation of a typical interconnect path represented by driver, interconnect and load elements. The total delay has been estimated with the Elmore approximation. The interconnect contributions consists of linear and quadratic dependencies on the wire length Lw Figure INTC21 Impact of LELE double patterning on parallel wires. Odd and even wires show different cross-section areas and different distances at each side from neighbouring wires. This causes an unbalance in wire resistances and in coupling capacitances Figure INTC22 Low-κ Roadmap Progression...36 Figure INTC23 Typical Air-Gap Integration Schemes...39 Figure INTC24 Dielectric Potential Solutions...41 Figure INTC25 Barrier Potential Solutions...44 Figure INTC26 Nucleation Potential Solutions...46 Figure INTC27 Conductor Potential Solutions...49 Figure INTC28 Post-CMP/Deposition Clean...53 Figure INTC29 Post Dielectric Etch Clean...54 Figure INTC30 Brief History of Planarization Solutions...55 Figure INTC31 Planarization Applications and Equipment Potential Solutions...59 Figure INTC32 Planarization Consumables Potential Solutions...60 Figure INTC33 Schematic Cross-sections of the Challenges for Si-TSV Plasma Etching...61 Figure INTC34 Cu and W-based TSV Options as a Function of TSV Diameter and Aspect Ratio, in Accordance with the 3D Interconnect Hierarchy and Roadmap...63 Figure INTC35 Temporary Carrier Strategy for Thin Wafer Post-processing...65 Figure INTC36 Delay versus length for different transport mechanisms...81 Figure INTCA1 Dielectric Potential Solutions (2010~2018) Realistic Case...90 Figure INTCA2 Dielectric Potential Solutions (2019~2027) Realistic Case...91 Figure INTCA3 Interconnect Model...92

8 表 のリスト Table INTC Interconnect Difficult Challenges...3 Table INTC2 MPU Interconnect Technology Requirements...6 Table INTC3 Flash Interconnect Technology Requirements...6 Table INTC4 DRAM Interconnect Technology Requirements...6 Table INTC5 3D Interconnect Technologies Based on the Interconnect Hierarchy...8 Table INTC6 3D-WLP Via Pitch Requirements Based on Table ORTC-4 Chip Pad Pitch Trend (µm)...13 Table INTC7 Global Interconnect Level 3D-SIC/3D-SOC Roadmap...13 Table INTC8 Intermediate Interconnect Level 3D-SIC Roadmap...13 Table INTC9 Surface Preparation Interconnect Technology Requirements...52 Table INTC10 Advantages and Concerns for Cu Extensions, Replacements and Native Device Interconnects...69 Table INTC11 Minimum Density of Metallic SWCNTs Needed to Exceed Minimum Cu Wire Conductivity...73

9 配 線 1 配 線 1 概 要 ITRS の 配 線 章 は CMOS 集 積 回 路 の 様 々な 機 能 ブロックへの 必 要 な 電 源 供 給 のために クロックと 他 の 信 号 を 分 配 する 配 線 システムを 扱 っている プロセス 面 では コンタクト 層 に 相 当 する 配 線 層 の 前 に 形 成 される 絶 縁 膜 から 上 層 のワイヤーボンドパッドまで 成 膜 RIE 平 坦 化 の 工 程 とこれらに 付 随 する 軽 いエッチング 剥 離 洗 浄 を 記 述 している 信 頼 性 と 性 能 の 項 では エレクトロマイグレーションと 配 線 遅 延 を 含 んでいる 新 探 求 配 線 や 三 次 元 (3D) 積 層 化 の 扱 いを 拡 大 しているのも 特 徴 である 1.1 序 論 1994 年 の NTRS(National Technology Roadmap for Semiconductor)の 配 線 技 術 の 章 では 予 想 される 全 体 の 技 術 要 求 を 満 たすために 必 要 な 新 しい 導 電 材 料 と 絶 縁 膜 材 料 の 要 求 仕 様 が 示 された NTRS の 1997 年 版 の 発 行 により 銅 (Cu)を 使 ったチップの 導 入 が 切 迫 していた 1999 年 の ITRS(International Roadmap for Semiconductors)になり かつてないペースで 導 入 されつつあった 新 材 料 への 切 り 替 えが 強 調 された 2001 年 版 の ITRS では 新 材 料 の 継 続 的 な 導 入 が 記 載 され また 配 線 幅 が 電 子 の 平 均 自 由 行 程 に 近 づくに 従 って 配 線 抵 抗 が 増 加 する 問 題 が 強 調 された MPU や ASIC への 低 誘 電 率 (Low-κ) 絶 縁 膜 の 導 入 ペースが 予 想 したものより 遅 れていることが ITRS2003 年 版 の 配 線 分 野 における 中 心 課 題 のひとつであった 2005 年 版 ITRS では 次 世 代 には 電 子 散 乱 による 銅 (Cu) 抵 抗 率 上 昇 と それに よって 変 化 する 抵 抗 容 量 積 (RC)の 配 線 性 能 指 標 が 記 載 された また 2007 年 版 ではクロストークの 指 標 が 導 入 された 急 速 な 新 材 料 導 入 とそれに 伴 う 複 雑 化 に 対 処 することが 短 期 的 (near term) 技 術 課 題 の 全 体 像 と 言 える 長 期 的 (long term)には 既 存 のスケーリングに 従 った 材 料 革 新 では もはや 性 能 要 求 を 満 たせなくなっている 解 決 策 は 光 無 線 (RF)を 使 った 革 新 的 な 配 線 技 術 あるいは 設 計 実 装 のたゆまぬ 努 力 と 相 俟 った 垂 直 統 合 がムーアの 法 則 と 同 等 のスケーリング 則 を 続 ける 解 決 策 を 齎 すであ ろう 配 線 あるいはワイヤリングシステムの 機 能 は チップ 上 の 様 々の 回 路 やシステムに あるいはその 中 で クロックや 他 の 信 号 を 伝 達 し 電 源 (パワー/アース)を 供 給 することである 配 線 技 術 への 基 本 的 な 開 発 に 求 められるのは スケーリングが 続 いても 性 能 が 劣 化 するというボトルネックにならぬよう 高 帯 域 低 消 費 電 力 の 信 号 伝 達 の 要 求 を 満 たすことである Cu 配 線 を 使 用 したチップは 層 間 膜 にシリコン 酸 化 膜 を 使 って 1998 年 に 導 入 されたが ITRS に 示 され た 層 間 膜 の 誘 電 率 の 低 減 には 問 題 があった フッ 素 添 加 酸 化 膜 (κ=3.7)は 180nm 世 代 で 導 入 された が 比 誘 電 率 が κ=2.7~3.0 の 材 料 は 90nm 世 代 まで 広 く 採 用 されなかった すなわち 低 誘 電 率 膜 をデ ュアルダマシン Cu プロセスでインテグレーションした 場 合 信 頼 性 や 歩 留 まりの 問 題 が 当 初 の 考 えて いたよりも 困 難 であることが 明 らかになってきた 多 孔 質 (ポーラス) 低 誘 電 率 (Low-κ) 材 料 のインテグレ ーションは さらに 困 難 が 予 想 される これらの 新 しい 低 誘 電 率 膜 の 開 発 と 完 成 度 の 向 上 は 不 変 的 に 時 間 を 要 するため 予 想 される MPU の 製 品 化 サイクルの 進 展 (2009 年 まで 3 年 から 2 年 へ)は 後 の 技 術 世 代 に 達 成 可 能 な κ 値 へとずれ 込 んでいくであろう 今 後 ロードマップ 上 でデュアルダマシン 構 造 を 構 成 すると 予 想 される さまざまな 低 誘 電 率 材 料 が 解 決 策 候 補 の 図 に 明 示 されている 層 間 膜 のバルク としての 比 誘 電 率 の 範 囲 と インテグレーションされた 場 合 の 実 効 的 な 比 誘 電 率 値 の 範 囲 が 技 術 的 要 求 表 に 載 せられている(Table INTC2) これらの 新 しい 低 誘 電 率 膜 の 導 入 は 拡 散 防 止 (バリア) 膜 や 核 成 長 (シード) 膜 の 膜 厚 低 減 と 付 き 回 りの 均 一 性 の 向 上 とを 合 わせて 行 う 必 要 があり インテグレーショ ンする 上 で 困 難 な 技 術 課 題 といえる(より 詳 しい 説 明 が 必 要 であれば 様 々なインテグレーション 方 法 に 対 する 実 効 的 な 比 誘 電 率 値 の 計 算 が 7.2 絶 縁 膜 補 足 に 記 載 されている) MPU と DRAM のメタル 1 ピッチが 同 じ 値 に 収 束 する 時 期 が 差 し 迫 っており(2010 年 と 予 想 されていた) そうなると 高 性 能 ロジック と DRAM の 両 方 の 技 術 仕 様 が 記 載 されるものの どちらが 市 場 を 牽 引 する 製 品 かを 特 定 する 必 要 はな くなる なお Table INTC3 にはにスケーリングで 先 行 するフラッシュの 仕 様 を 示 した

10 2 配 線 年 版 の 変 更 点 技 術 要 求 仕 様 Table INTC2 は 2011 年 に 採 用 した 表 記 方 法 を 踏 襲 し 以 下 の 項 目 に 分 類 した 一 般 的 な 要 求 たとえば バルクの 抵 抗 値 や 絶 縁 膜 の 比 誘 電 率 など 配 線 やビアの 寸 法 の 特 性 により 決 定 される 配 線 層 への 要 求 たとえば バリアメタルの 膜 厚 や 実 効 抵 抗 率 など Low-κ ロードマップ 軽 微 な 変 更 バルク κ 値 のレンジを 見 直 し バルク κ 値 2 未 満 でのエアギャップの 採 用 見 込 み RIE や 洗 浄 による 側 壁 ダメージを 考 慮 した 新 しい 指 標 の 評 価 適 切 な 材 料 候 補 と 有 望 な 応 用 先 を 考 慮 した 配 線 材 料 解 決 策 候 補 の 更 新 バリアメタルとシードメタルが 非 常 に 困 難 新 たなライナーメタルの 組 合 せ(Co Ru など)のバリアの 採 用 の 遅 れ キャップメタルにより 信 頼 性 向 上 手 法 の 量 産 が 間 近 適 切 な 材 料 候 補 を 適 用 期 間 も 含 めて 再 考 (MnSiO CuAl や CuTi) 許 容 電 流 J maxのモデルは 2011 年 のままオンチップ 周 波 数 を 鈍 化 キャップメタルによる J EM 改 善 SIV を 加 味 した A&P TSV の 詳 細 な 議 論 寿 命 評 価 モデルを 更 新 した TDDB の 記 述 3D-TSV 表 の 改 訂 新 探 求 配 線 材 料 の 開 発 は 進 行 中 新 たな 配 線 材 料 のオプションは 先 送 りとなって CMOS ドライバの Cu/Low-κ 配 線 での 往 来 の 速 度 に 見 合 った あるいは 乗 り 越 えるに 妥 当 なエリアの 確 保 を 要 求 する アプリケーションは 新 探 求 配 線 により 可 能 となる 新 たな 機 能 により 牽 引 される 新 規 状 態 を 使 う 材 料 も リピータが 牽 引 する Cu/Low-κ 配 線 に 比 較 して 先 送 りとなり スイッチン グスピードを 維 持 するためのエリアを 確 保 することが 要 求 される 新 探 求 オプションのエネルギー 効 率 の 評 価 は スイッチングと 配 線 のオプションとともに 検 討 す ることが 必 要 となる 2 要 旨 2013 年 については 配 線 性 能 は 全 体 としてチップ 性 能 を 実 現 するための 課 題 として 最 重 要 項 目 となっ ている Low-κ のスケーリング(κ< 2.6)は 配 線 形 成 過 程 でプラズマや 機 械 的 なダメージによる 誘 電 率 の 上 昇 が 見 られるため 大 きく 後 退 している エアギャップ 構 造 はその 技 術 成 熟 度 が 認 められて いまや 層 間 絶 縁 膜 の 課 題 解 決 の 主 流 と 考 えられている NAND フラッシュメモリではワードライン 容 量 を 低 減 する ため SiO 2 ILD を 用 いたエアギャップ 構 造 が 導 入 されている ITRS では いかなる 実 効 誘 電 率 低 減 も 更 なるのようなポーラス 超 低 誘 電 率 (ultra-low-κ:ulk) 材 料 (κ 2)の 改 良 ではなく エアギャップの 使 用 に より 達 成 されると 見 ている Low-κ については これが 現 時 点 での 材 料 による 解 決 の 終 焉 で アークテク チャによる 解 法 の 始 まりである 高 品 質 の ALD プロセスの 出 現 の 遅 れが 2nm 以 下 のバリア 厚 の 要 求 に 応 えられず 重 要 な 課 題 となっている 3D 積 層 化 は TSV が 量 産 に 近 づいたこともあり 新 探 求 配 線 技 術 の 項 には 含 めていない これに 加 えて ITRS 章 は Cu 代 替 材 料 の 研 究 と FET のスイッチングに 不 可 避 な 代 替 材 料 を 用 いる 配 線 への 要 求 検 討 というニーズに 関 して 新 たな 重 要 な 内 容 を 盛 り 込 んだ CNT より 革 新 的 なオプション 分 子 配 線 量 子 波 スピンカップリングを 含 む で それらは まだ 幼 時 の 開 発 段 階 であるが い ずれの 場 合 にもそのゴールは fj/b での Tb/sec の 伝 送 である

11 2.1 困 難 な 技 術 課 題 配 線 3 Table INTC5 には 16nm ノードを 境 にした 当 面 (Near Term)と 長 期 (Long Term)の 五 つのキーとなる 取 組 みを 示 した 当 面 の 最 も 重 要 な 課 題 は 配 線 の 電 導 度 の 要 求 を 満 たし 絶 縁 膜 の 誘 電 率 を 低 減 するこ とのできる 新 材 料 の 導 入 である 長 期 的 には 配 線 構 造 でのサイズ 効 果 の 影 響 を 緩 和 しなければならな い 将 来 的 に 実 効 誘 電 率 の 要 求 値 が 下 がっていくと デュアルダマシン 構 造 でのエッチストッパーの 使 用 が 不 可 能 になる 寸 法 制 御 は 現 在 から 将 来 に 亘 る 世 代 の 配 線 技 術 のキーとなる 技 術 課 題 であり エッチ ングにおける 課 題 解 決 の 結 果 RC( 容 量 抵 抗 積 )のばらつきの 抑 制 可 能 な Low-κ 絶 縁 膜 を 用 いた 精 密 なトレンチ ビア 構 造 を 形 成 することができる 主 流 となっているダマシン 構 造 では パターニング エッ チング 平 坦 化 で 厳 しい 制 御 が 要 求 される 性 能 を 最 大 限 に 引 き 出 すためには 配 線 工 程 では 招 かれ ざる RC の 劣 化 を 齎 す 形 状 のばらつきは 許 容 されない これらの 寸 法 制 御 の 要 求 は 高 アスペクト 構 造 における 高 スループットの 画 像 計 測 という 新 しい 需 要 を 引 き 起 こす 新 しい 計 測 技 術 には 密 着 性 や 欠 陥 のインラインでのモニタリングも 要 求 される ウェーハの 大 口 径 化 とテストウェーハを 制 限 する 要 求 は ますます in-situ でのプロセス 制 御 技 術 の 採 用 へと 進 んでいくであろう 現 時 点 で 困 難 となっている 寸 法 制 御 は ポーラス Low-κ 絶 縁 膜 や ALD(Atomic Layer Deposition)メタルのような 新 材 料 では いっそう 厳 しくなり より 微 細 なピッチや 中 間 層 配 線 やグローバル 配 線 での 高 アスペクトパターンで 重 要 な 役 割 を 果 たすであろう Table INTC Interconnect Difficult Challenges Five Most Critical Challenges 16 nm [Mx hp] Summary of Issues Materials Introduction of new materials to meet conductivity requirements and reduce the dielectric permittivity Manufacturable Integration Engineering manufacturable interconnect structures, processes and new materials Reliability Achieving necessary reliability Metrology Three-dimensional control of interconnect features (with its associated metrology) is required to achieve necessary circuit performance and reliability. Cost & Yield for Manufacturability Manufacturability and defect management that meet overall cost/performance requirements The rapid introduction of new materials/processes that are necessary to meet conductivity requirements and reduce the dielectric permittivity create integration and material characterization challenges. Integration complexity, CMP damage, resist poisoning, dielectric constant degradation. Lack of interconnect/packaging architecture design optimization tool New materials, structures, and processes create new chip reliability (electrical, thermal, and mechanical) exposure. Detecting, testing, modeling, and control of failure mechanisms will be key. Line edge roughness, trench depth and profile, via shape, etch bias, thinning due to cleaning, CMP effects. The multiplicity of levels combined with new materials, reduced feature size, and pattern dependent processes create this challenge. As feature sizes shrink, interconnect processes must be compatible with device roadmaps and meet manufacturing targets at the specified wafer size. Plasma damage, contamination, thermal budgets, cleaning of high A/R features, defect tolerant processes, elimination/reduction of control wafers are key concerns. Where appropriate, global wiring and packaging concerns will be addressed in an integrated fashion. Five Most Critical Challenges < 16 nm [Mx hp] Summary of Issues Materials Mitigate impact of size effects in interconnect structures Metrology Line and via sidewall roughness, intersection of porous Low-κ voids with sidewall, barrier roughness, and copper surface roughness will all adversely affect electron scattering in copper lines and cause increases in resistivity. Line edge roughness, trench depth and profile, via shape, etch

12 4 配 線 Three-dimensional control of interconnect features (with its associated metrology) will be required Process Patterning, cleaning, and filling at nano dimensions Complexity in Integration Integration of new processes and structures, including interconnects for emerging devices Practical Approach for 3D Identify solutions which address 3D interconnect structures and other packaging issues bias, thinning due to cleaning, CMP effects. The multiplicity of levels, combined with new materials, reduced feature size and pattern dependent processes, use of alternative memories, optical and RF interconnect, continues to challenge. As features shrink, etching, cleaning, and filling high aspect ratio structures will be challenging, especially for Low-κ dual damascene metal structures and DRAM at nano-dimensions. Combinations of materials and processes used to fabricate new structures create integration complexity. The increased number of levels exacerbate thermomechanical effects. Novel/active devices may be incorporated into the interconnect. Three-dimensional chip stacking circumvents the deficiencies of traditional interconnect scaling by providing enhanced functional diversity. Engineering manufacturable solutions that meet cost targets for this technology is a key interconnect challenge. 2.2 配 線 アーキテクチャ 序 論 ロジック(MPU や ASIC)および NAND 型 フラッシュメモリの 2 種 類 の 製 品 に 関 して 議 論 する NAND 型 フラッシュメモリで 使 用 されるメタル 1 配 線 層 のピッチの 微 細 化 およびコンタクト 層 のアスペクト 比 の 増 加 は 他 のデバイスの 追 随 を 許 さず テクノロジードライバとして 注 視 する 必 要 性 がある Figure INTC1 には 各 デバイスにおける 典 型 的 な 断 面 構 造 を 示 した 左 から MPU デバイス ASIC そして NAND 型 フラッ シュメモリである Global (=IMx1.5~2µm) Metal 1 Via Figure INTC1 Wire Metal 1 Pitch MPU Cross-Section Passivation Dielectric Etch Stop Layer Dielectric Capping Layer Copper Conductor with Barrier / Nucleation Layer Tungsten Contact Plug Pre-Metal Dielectric Wire Via Metal 1 Pitch ASIC Cross-Section Global (=IMx1.5~2µm) Metal 3 Inter- Mediate (=M1x1) Metal 2 Semi- Global (=M1x2 Metal 1 ) Metal 0 Inter- Mediate (=M1x1) Metal 1 Poly Pitch Metal 1 Pitch Flash Cross-Section Typical Cross-sections of Hierarchical Scaling (MPU Device (left), ASIC (middle) and Flash memory (right)) ロジック(MPU/ASIC) MPU では 性 能 への 配 線 遅 延 の 影 響 を 緩 和 するために 配 線 層 毎 にピッチと 膜 厚 が 次 第 に 増 加 してい く 階 層 的 配 線 アプローチによる 多 層 の 配 線 が 用 いられる 接 地 層 やオンチップのデカップリング 容 量 に 対 する 要 求 に 応 えるために 配 線 層 の 伸 びは 性 能 要 求 を 満 たすことのみを 考 えた 場 合 よりも 大 きくなる と 予 想 される ASIC は 例 えば Cu 配 線 や Low-κ 絶 縁 膜 のような MPU の 技 術 的 特 徴 の 多 くを 共 有 す

13 配 線 5 る 一 般 的 に ASIC の 設 計 手 法 はより 標 準 的 で メタル 1 中 間 層 配 線 セミグローバル( 中 間 層 配 線 の 2 倍 )およびグローバル( 中 間 層 配 線 の 4 倍 )という 配 線 ピッチで 構 成 される MPU ピッチのスケーリングの 加 速 が Cu のエレクトロマイグレーション 問 題 を 深 刻 にしてきている 現 在 用 いられている Cu への 絶 縁 膜 キャップ 技 術 での 最 大 電 流 密 度 (J max)の 限 界 は 2017 年 までに 超 過 され てしまうだろう CuSiN 形 成 による Cu 表 面 の 改 質 もしくは Cu-Al のような 合 金 の 使 用 によって 顕 著 な エレクトロマイグレーションの 改 善 が 得 られる CoWP のような Cu への 選 択 メタルキャップ 技 術 を 用 いるこ とで より 高 いエレクトロマイグレーション 耐 性 が 得 られるであろう しかし 選 択 プロセスに 起 因 した 配 線 間 ショートによる 歩 留 りの 低 下 が 依 然 として 懸 念 される 絶 縁 膜 キャップの 改 良 も 検 討 されている ダマシンプロセスフローは MPU/ASIC 製 造 法 の 主 要 なものであり DRAM への 適 用 が 拡 大 していくと 期 待 される Figure INTC2 は 積 層 配 線 の 作 製 に 用 いられる 幾 つかの 典 型 的 な 層 間 絶 縁 膜 (ILD: Interlevel Dielectric) 構 造 を 図 示 したものである 現 在 の Cu ダマシンプロセスは 物 理 気 相 成 長 法 (PVD: Physical Vapor Deposition)による Ta ベースのバリア 膜 と Cu 核 成 長 層 を 用 いているが サイズの 継 続 的 なスケーリングには 異 なる 材 料 や 核 成 長 層 の 成 膜 法 が 求 められる Cu めっき(Electrochemically Deposited Cu:ECD-Cu)は 装 置 や 薬 液 の 継 続 的 な 改 善 によってロードマップの 予 測 最 終 年 (2026 年 )ま で 延 命 しようとしているが 微 細 かつ 高 アスペクト 比 となる 形 状 に 対 しては 代 替 となる 埋 設 技 術 を 並 行 して 開 発 精 選 していく 必 要 がある このような 形 状 について 実 効 的 な 配 線 抵 抗 率 を 維 持 するために 薄 いバリア 膜 も 必 要 とされる 核 成 長 層 の 被 覆 性 に 対 する 要 求 は ダマシン 構 造 での Cu めっき 埋 めこみを 可 能 とするためにより 厳 しくなる 表 面 への 偏 析 化 学 気 相 成 長 法 (Chemical Vapor Deposition:CVD) 原 子 層 成 長 法 (Atomic Layer Deposition:ALD) および 絶 縁 膜 バリアが 中 間 的 な 解 決 策 候 補 である 膜 厚 ゼロのバリアは 望 ましいが 要 求 されてはいない これに 沿 って 最 小 のメタル 1 中 間 層 配 線 グローバル 配 線 についての Cu の 比 抵 抗 値 が ロードマッ プの 全 ての 年 に 対 して 記 載 されている この 比 抵 抗 上 昇 による RC 性 能 指 標 への 影 響 も 計 算 されており 技 術 的 要 求 の 表 に 含 まれている 寸 法 ばらつきと 散 乱 によるメタル 1 配 線 抵 抗 のトータルなばらつきも 計 算 され MPU の 技 術 的 要 求 の 表 (MPU technology requirements table)に 含 まれている メタル 1 と 中 間 層 配 線 の 配 線 長 は 通 常 従 来 のスケーリングに 伴 って 縮 小 されるため これらの 遅 延 が 性 能 に 与 える 影 響 は 比 較 的 小 さい 最 大 の 配 線 長 を 有 するグローバル 配 線 は 劣 化 した 遅 延 に 最 も 影 響 されるだろう 材 料 変 更 や Cu 比 抵 抗 上 昇 のいくらかの 改 善 では 総 合 的 な 性 能 要 求 を 満 たすには 不 十 分 であろう マ ルチコア MPU 設 計 への 流 れによって これまでの 増 大 するグローバル 配 線 の 配 線 長 に 関 する 遅 延 の 問 題 の 幾 つかは 軽 減 されてきている Cu 配 線 へのエアギャップの 導 入 は 今 後 の 10 年 において 最 も 重 要 な 課 題 の 一 つとなる エアギャップ 形 成 に 関 するインテグレーションスキームや 構 造 についてはいくつか 報 告 されている それらは 大 きく 二 つ のカテゴリーに 分 類 され その 違 いはエアギャップ 形 成 が 上 層 金 属 配 線 形 成 の 前 後 どちらかで 分 けられ る Cu ダマシン 構 造 においてエアギャップを 形 成 するためには Cu-CMP プロセスがエアギャップの 無 い 状 態 で 実 行 される 必 要 性 から エアギャップの 元 となる 犠 牲 材 料 を 取 り 除 くプロセスが 必 須 である

14 6 配 線 Figure INTC2 Typical ILD Architectures フラッシュメモリ フラッシュメモリは 3 もしくは 4 層 の 配 線 層 の 単 純 な 階 層 を 持 つ 全 ての 半 導 体 デバイスの 中 でフラッシュ メモリはビット 線 (メタル 1 配 線 層 )での 最 も 微 細 なピッチと コンタクト 層 での 最 も 高 いアスペクト 比 を 合 わ せ 持 っている それに 伴 い 細 線 効 果 による RC 遅 延 の 増 大 とパターニングやメタル 埋 設 に 対 して 最 も 深 刻 な 課 題 に 直 面 している 一 方 で M2 層 以 降 は 緩 いメタルピッチを 使 用 する ロジックデバイスと 同 様 に ダマシンプロセスフローはフラッシュメモリ 製 造 法 の 主 要 なものである Cu ダ マシンプロセスは 物 理 気 相 成 長 法 (PVD:Physical Vapor Deposition)による Ta ベースのバリア 膜 と Cu 核 成 長 層 を 用 いているが サイズの 継 続 的 なスケーリングには 異 なる 材 料 や 核 成 長 層 の 成 膜 法 が 求 めら れる 配 線 形 成 に 対 する 技 術 課 題 についてはロジックのセクションに 詳 細 が 記 載 されている 新 しいトレ ンドとして メタル 配 線 形 成 方 法 やエアギャップ 形 成 方 法 エレクトロマイグレーション 耐 性 に 対 して 優 位 性 のあるタングステン(W)を 用 いたビット 線 配 線 構 造 が 導 入 され 始 めている しかしながら Cu よりもバル ク 比 抵 抗 が 高 いためそれに 対 応 した 設 計 が 必 要 となる 電 子 散 乱 モデルが 改 善 されてきており 配 線 幅 とアスペクト 比 の 関 数 として Cu の 比 抵 抗 上 昇 を 予 測 でき る 比 抵 抗 の 上 昇 には 粒 界 と 界 面 の 電 子 散 乱 の 両 方 が 大 きく 影 響 する 今 までのところ この 問 題 に 対 する 解 決 策 は 見 つかっていない 配 線 形 状 の 三 次 元 的 な 寸 法 制 御 (3DCD)は ITRS の 数 回 の 版 にお いて 重 要 課 題 の 一 つとして 挙 げられている エアギャップ 構 造 が 他 のデバイスに 先 駆 けて W 配 線 との 組 み 合 わせで 導 入 された エアギャップの 形 成 としては W 配 線 を 反 応 性 イオンエッチングにより 形 成 し その 後 にプラズマ CVD にて 絶 縁 膜 を 成 膜 する 方 法 を 有 望 である 一 方 で Cu ダマシン 配 線 においてはロジックと 同 様 にエアギャップ 構 造 を 導 入 するの には 大 きいな 課 題 が 残 っている ビット 線 に Low-κ 材 料 を 使 用 することも 容 量 低 減 には 効 果 的 だが フラ ッシュメモリ 特 有 の 高 電 圧 動 作 に 耐 える 絶 縁 膜 特 性 を 有 する 必 要 性 がある Table INTC2 MPU Interconnect Technology Requirements Table INTC3 Flash Interconnect Technology Requirements Table INTC4 DRAM Interconnect Technology Requirements

15 配 線 三 次 元 (3D) 配 線 アーキテクチャ 序 論 電 子 システムの 集 積 化 に 関 する 新 規 開 発 は 微 細 化 異 種 デバイスの 集 積 回 路 性 能 の 向 上 低 消 費 電 力 化 といったさまざまな 理 由 から 三 次 元 化 の 方 向 に 拡 大 する 傾 向 がある 電 子 製 造 業 サプライチェー ン(IC 製 造 工 場 ウェーハレベルプロセス(WLP) 半 導 体 アセンブリと 試 験 (SAT) プリント 配 線 基 板 (PCB) 組 立 )のすべての 企 業 から 旧 来 のあいまいな 業 界 の 壁 を 越 えて 幅 広 い 技 術 が 提 案 さ れている 3D 技 術 のロードマップに 関 する 明 確 な 見 通 しに 到 達 するためには 3D 配 線 技 術 で 理 解 されていること を 明 確 に 定 義 すること 幅 広 い 技 術 の 分 類 を 提 案 することが 重 要 である ここでいう 定 義 には システ ムの 異 なる 階 層 レベルにおいて 3D 技 術 に 求 められている 機 能 が 記 載 され サプライチェーン 製 造 業 の 能 力 に 対 応 しているべきである D 配 線 技 術 の 定 義 どのような 電 子 装 置 でも それを 基 本 的 な 構 成 要 素 -トランジスタ ダイオード 受 動 回 路 素 子 MEMS 等 -に 分 解 すると 基 本 的 な 主 要 部 品 とそれをつなぐ 非 常 に 複 雑 な 配 線 構 造 の 二 つの 要 素 から 成 り 立 っていることに 気 が 付 く これらは 基 本 部 品 とすべての 基 本 電 子 部 品 をつなぐ 複 雑 な 相 互 配 線 された 製 品 であり これらは 階 層 的 に 作 られている つまり 基 本 部 品 をつなぐ 細 くて 短 い 配 線 から 回 路 ブロック をつなぐための 太 くて 長 い 配 線 である 集 積 回 路 ではローカル 中 間 層 そしてグローバル 層 の 配 線 が 明 確 に 区 別 されており チップ 上 の 回 路 の 階 層 が トランジスタから 論 理 ゲート サブ 回 路 回 路 ブロック そしてボンディングパッドの 入 出 力 回 路 まで 分 類 されている それは 総 じて 電 子 システムにもあてはまり 主 として 複 数 の 集 積 回 路 受 動 素 子 水 晶 振 動 子 MEMS などからなる IC パッケージ システム オン パッケージ モジュール ボード ラックといった 異 なるレベルで 分 類 されている 一 例 として JISSO による 分 類 がある( あるレベルの 配 線 階 層 の 範 囲 までは 配 線 は 基 本 的 に 2D トポロジーで 配 置 される:ある 平 面 上 でお 互 いに 交 差 しない 配 線 を 孤 立 配 線 と 定 義 する 配 線 が 交 差 する 場 合 近 接 する 上 下 の 配 線 層 を 用 いる 配 線 層 と 配 線 層 の 間 の 接 続 は ビア めっきされたスルーホール ピン はんだボール および(あるいは) 配 線 などによって 特 徴 づけられる 配 線 レベルの 3D 積 層 は これらのビア 配 線 により 可 能 になる 集 積 回 路 やプリント 配 線 基 板 のような 基 本 回 路 要 素 と 複 数 の 2D 配 線 層 との 組 み 合 わせは 2D デバイスと 見 なされる 今 日 一 般 に 3D 技 術 と 称 されているものは 配 線 層 だけでなく 基 本 的 な 電 子 部 品 の 3D 積 層 を 可 能 にする 異 なる ビア 技 術 である それは 単 位 面 積 や 単 位 体 積 あたりで 見 ると 非 常 に 高 い 実 装 効 率 の 実 現 を 可 能 にする 3D 定 義 と 命 名 法 3D 配 線 技 術 -2D 配 線 技 術 を 用 い 以 下 に 示 した 主 要 電 子 部 品 の 縦 方 向 の 積 層 を 可 能 にする 技 術 主 要 電 子 部 品 とは トランジスタ ダイオード 抵 抗 キャパシタ インダクタなどの 基 本 回 路 デバイ スである 3D 配 線 技 術 の 特 別 な 場 合 として 配 線 層 だけが 含 まれる Si インターポーザ 構 造 がある 多 くの 場 合 は 他 の 主 要 電 子 部 品 ( 特 に デカップリングキャパシタ)がインターポーザに 組 み 込 まれている 3D 接 合 - 二 つのダイあるいはウェーハの 表 面 を 一 緒 につなぐ 操 作 3D 積 層 - 二 つのデバイスレベル 間 の 電 気 配 線 接 続 を 実 現 する 操 作 Table INTC5 では 3D 接 続 技 術 の 構 造 化 された 定 義 は 接 続 階 層 に 基 づいて 与 えられている この 構 造 は 産 業 半 導 体 サプライチェーンを 考 慮 してあり 意 味 のあるロードマップの 定 義 を 可 能 にし 相 互 接 続 の 階 層 構 造 [1]のそれぞれの 層 のターゲットを 明 確 にしている

16 8 配 線 推 奨 される 名 称 の 定 義 3D-パッケージ(3D-P) - ワイヤーボンディング パッケージオンパッケージ プリント 回 路 基 板 内 蔵 など 従 来 のパッケージ 技 術 を 用 いた 3D 積 層 3D-ウェーハレベル-パッケージング(3D-WLP) - ウェーハ 上 へのフリップ チップの 再 配 置 再 配 線 層 ファン- イン 型 のチップサイズ パッケージング および 擬 似 ウェーハ 上 で 再 配 線 を 行 うファンアウト 型 のチップスケールパッケージングなど ウェーハ 製 造 工 程 のあとに 行 われるウェーハレベル-パッケージ ング 技 術 を 用 いた 3D 集 積 3D-システムオンチップ (3D-SOC)-システムオンチップ(SOC)として 設 計 され 複 数 ダイの 積 層 で 実 現 される 回 路 3D 配 線 は 異 なるダイの 回 路 タイル 間 を 直 接 的 に 配 線 接 続 する これらの 配 線 はチップ 上 のグローバル 配 線 に 相 当 し IP ブロックの 拡 張 的 な 利 用 や 再 利 用 を 可 能 にする 3D- 積 層 化 IC (3D-SIC)-3D 積 層 されたダイの 異 なる 階 層 にある 回 路 ブロック 間 を 直 接 的 に 配 線 接 続 する 3D 手 法 配 線 は チップ 上 の 配 線 のグローバル 層 か 中 間 層 に 相 当 する フロントエンド(デバイス) とバックエンド( 配 線 ) 層 が 繰 り 返 し 積 み 重 ねられている 点 が 特 徴 である 3D- 集 積 回 路 (3D-IC)- 能 動 素 子 を 直 接 積 層 する 3D 手 法 配 線 はチップ 上 のローカル 配 線 に 相 当 す る フロントエンドデバイスが 積 み 重 ねられ それが 共 通 のバックエンド 配 線 でつながっている 点 が 特 徴 である 配 線 階 層 に 基 づき 3D 配 線 技 術 を 構 造 的 に 定 義 した 結 果 を Table INTC5 に 示 す 表 中 には 半 導 体 サプライチェーンについても 触 れられており 配 線 階 層 の 各 レベルにおけるターゲットと 重 要 なロードマ ップの 定 義 が 可 能 となる[1] Level Package Bond-pad Global Table INTC5 Suggested Name 3D- Packaging (3D-P) 3D-Waferlevel Package (3D-WLP) 3D-Stacked Integrated Circuit / 3D- System-on- Chip (3D- SIC /3D- SOC) 3D Interconnect Technologies Based on the Interconnect Hierarchy Supply Key Characteristics Chain OSAT, Assembly and PCB Wafer-level Packaging Wafer Fab Intermediate 3D-SIC Wafer Fab Local 3D- Integrated Circuit (3D- IC) Wafer Fab Traditional packaging of interconnect technologies, e.g., wire-bonded die stacks, package-on-package stacks. Also includes die in PCB integration No through-si-vias (TSVs) WLP infrastructure, such as redistribution layer (RDL) and bumping. 3D interconnects are processed after the IC fabrication, post ICpassivation (via last process). Connections on bond-pad level. TSV density requirements follow bond-pad density roadmaps. Stacking of large circuit blocks (tiles, IP-blocks, memory-banks), similar to an SOC approach but having circuits physically on different layers. Unbuffered I/O drivers (Low C, little or no ESD protection on TSVs). TSV density requirement significantly higher than 3D-WLP: Pitch requirement down to 4 16 µm Stacking of smaller circuit blocks, parts of IP-blocks stacked in vertical dimensions. Mainly wafer-to-wafer stacking. TSV density requirements very high: Pitch requirement down to 1 4 µm Stacking of transistor layers. Common BEOL interconnect stack on multiple layers of FEOL. Requires 3D connections at the density levels of local interconnects.

17 配 線 9 3D シリコン 貫 通 ビア 技 術 の 定 義 前 述 のように 3D 接 続 技 術 を 実 現 するために 様 々な 広 範 囲 な 技 術 が 使 われている 特 に 興 味 深 いも のは 3D-WLP 3D-SOC そして 3D-SIC の 接 続 技 術 として 用 いられている Through-Si-Via と 呼 ばれて いる 技 術 である Through-Si-Via connection (TSV)は Si ウェーハの 両 面 を 電 気 的 に 接 続 し 基 板 や 他 の TSV からは 電 気 的 に 絶 縁 されている TSV 導 周 辺 部 の 絶 縁 層 は TSV liner と 呼 ばれる この 層 の 機 能 は 基 板 や 他 の TSV と 電 気 的 に 絶 縁 することである また この 層 は TSV の 寄 生 容 量 を 決 める TSV から Si 基 板 へ の 金 属 拡 散 を 防 止 するために バリア 層 が liner と TSV メタルの 間 に 設 けられる TSV-stacked 3D-SIC そして 3D-WLP 構 造 を 実 現 するために 数 多 くの 方 法 が 提 案 されてきた これら の 手 段 に 共 通 しているのは 三 つの 基 本 的 な 技 術 モジュールである 1. Through-Si-Via プロセス 2. ウェーハ 薄 化 薄 ウェーハハンドリングそして 裏 面 処 理 技 術 3. 積 層 プロセス これらのプロセスモジュールの 順 番 は Figure INTC3 に 示 す 多 種 多 様 な 提 案 されたプロセスフローより 変 わる Si wafer FEOL device layer local BEOL interconnect wiring intermediate global Figure INTC3 Schematic Cross-sections of TSV First and Middle/Last Process Flows 様 々な 異 なるプロセスフローが 四 つの 重 要 な 区 別 された 特 性 に 特 徴 づけられる 1. デバイスのウェーハ 製 造 プロセスに 対 応 する TSV プロセスの 順 序 (see Figure INTC1) Via-first デバイス 拡 散 工 程 (FEOL) 前 の TSV 形 成 Via-middle デバイス 拡 散 工 程 (FEOL) 後 で バックエンドプロセス 配 線 工 程 (BEOL) 前 の TSV 形 成 Via-last バックエンドプロセス 配 線 工 程 (BEOL) 後 あるいは 途 中 工 程 の 表 面 または 裏 面 か らの TSV 形 成 2. TSV プロセスと 3D ボンディングプロセスの 順 序 3D ボンディング 前 または 後 の TSV プロセス 1 3. ウェーハ 薄 化 と 3D ボンディングの 順 序 3D ボンディング 前 または 後 のウェーハ 薄 化 プロセス 1 3D 接 合 後 の TSV の 処 理 もまた Via-last と 呼 ぶことがある しかし 我 々は" 半 導 体 ウェーハ 製 造 プロセス の 関 連 において Via-last と 定 義 とする この 結 果 Via-last の 定 義 が より 一 般 的 になり 3D 接 合 後 の TSV の 処 理 に 限 定 さ れなくなる

18 10 配 線 4. 3D ボンディング 方 法 ウェーハ-to-ウェーハ(W2W)ボンディング ダイ-to-ウェーハ(D2W)ボンディング ダイ-to-ダイ(D2D)ボンディング これらの 四 つの 主 な 特 徴 に 加 え 二 次 的 な 三 つの 特 性 が 特 徴 づけられる Face-to-Face(F2F)あるいは Back-to-Face (B2F) ボンディング via-last に 関 し: ウェーハの 表 面 側 から 形 成 された Front side TSVs もしくは 薄 化 されたウェ ーハの 裏 面 側 から 形 成 された Backside TSVs(ウェーハの 表 面 側 とは 能 動 素 子 そして 配 線 層 がある 側 と 言 う) ボンディング 前 あるいは 後 におけるキャリアウェーハからの 剥 離 前 述 で 明 確 にされた 一 般 的 なフロー 特 性 は 3D-WLP やグローバルそして 3D-SIC プロセスフローの 中 間 接 続 に 適 用 できる 3D-WLP TSV 技 術 にとって via-last route は 最 も 重 要 であり そしてフロントサイ ド TSV にしろバックサイド TSV にしろ Figure INTC4 に 示 されるように 3D ボンディング 前 に 形 成 される 提 案 されている 様 々なアプローチ( 手 段 )は 通 常 の 半 導 体 デバイスのみならず 受 動 素 子 の 再 配 線 ま たはインターポーザ 基 板 に 適 用 できる 3D 集 積 にとって 重 要 な(キー)プロセス 技 術 には 色 々な 一 時 的 あるいは 恒 久 的 なボンディングそしてデボンディング 工 程 がある 材 料 に 対 する 要 求 そしてプロセス 要 件 は 選 択 された 配 線 ルートにより 異 なる

19 配 線 11 IC Foundry Bottom Top Wafer Wafer TSV Wafer Wafer Bonding to Carrier Wafer Thinning Wafer Bonding to carrier 3D Aligned bonding F2F, W2W F2F,W2W F2F, W2W Carrier Debonding Wafer Thinning Wafer Fab Processing TSV process Backside through BEOL Contact process before 3D-stacking Wafer Thinning after 3D stacking TSV after 3D stacking Via-last only W2W only Backside process Carrier de-bonding (+ opt. dicing) FLIP B2F, W2W, D2W or D2D B2F, W2W, D2W or D2D F2F, W2W, D2W or D2D Thin die/wafer handling Wafer Thinning before 3D stacking TSV before 3D stacking Via- first, middle or last W2W/D2W/D2D Figure INTC4 Schematic Representation of the Various Key Process Modules and 3D-stacking Options when using Through-Si-Via 3D-SIC Technologies 2 2 IMEC

20 12 配 線 IC Foundry Top Wafer Wafer Bonding to Carrier Wafer Thinning Backside process Backside TSV TSV wafer on carrier Carrier Debonding + optional dicing 3D Aligned bonding W2W, D2W or D2D B2F Carrier Debonding TSV Wafer Frontside TSV Bottom Wafer FLIP F2F B2F W2W Figure INTC5 Schematic Representation of the Various Key Process Modules and 3D-stacking Options when using Through-Si-Via 3D-WLP Technologies 3 3 IMEC

21 D-TSV ロードマップ 配 線 13 前 述 の 3D 接 続 構 造 と 3D プロセス 定 義 することにより それらが 提 供 する 接 続 構 造 に 関 する TSV ロード マップが 定 義 できる 3D-WLP これはボンディングパッドレベルで 3D 積 層 技 術 である したがって Table INTC6 に 示 す 様 に 3D-TSV ロードマップはチップの I/O ボンディングパッドロードマップに 従 う Table INTC6 3D-WLP Via Pitch Requirements Based on Table ORTC-4 Chip Pad Pitch Trend (µm) Year of Production row wedge-bond pitch (µm) row ball pitch (µm) row staggered pitch (µm) Three-tier pitch (µm) Area array flip-chip (µm) (cost-performance, high-performance) D-SIC この 技 術 は 二 つの 配 線 構 造 で 定 義 できる 1. グローバル 配 線 レベルのための 3D-SIC たとえば IP-ブロック (3D-SOC)の 3D 積 層 :この 技 術 は W2W D2W そして D2D 積 層 がある この 3D-TSV プロセスは 一 般 的 には Si ウェーハ 製 造 ラインで 積 層 される 3D-スタックプロセスは ほとんどが 標 準 的 な Si プロセスラインの 外 で 行 われる 3D-SIC/3D- SOC の 詳 細 は Table INTC7 に 示 されている Table INTC7 Global Interconnect Level 3D-SIC/3D-SOC Roadmap Global Level Minimum TSV diameter 4 10 µm µm Minimum TSV pitch 8 20 µm 4 7 µm Minimum TSV depth µm µm Maximum TSV aspect ratio 5:1 12:1 12:1 20:1 Bonding overlay accuracy µm µm Minimum contact pitch (thermocompression) 10 µm 5 µm Minimum contact pitch (solder µbump) 20 µm 10 µm Number of die per stack 中 間 配 線 レベルのための 3D-SIC たとえばより 小 さな 回 路 ブロックの 3D 積 層 :この 技 術 の 主 流 は W2W 積 層 技 術 である この 二 つの 3D-TSV プロセスと 3D 積 層 は 一 般 的 には Si ウェーハ 製 造 ライン で 行 われる 3. 新 規 中 間 配 線 レベルの 3D-SIC ロードマップの 仕 様 は Table INTC8 に 示 されている Table INTC8 Intermediate Interconnect Level 3D-SIC Roadmap Intermediate Level Minimum TSV diameter 1 2 µm µm Minimum TSV pitch 2 4 µm 1 4 µm Minimum TSV depth 5-40 µm 5-20 µm Maximum TSV aspect ratio 5:1 20:1 5:1 20:1 Bonding overlay accuracy µm µm Minimum contact pitch 2 3 µm 2 3 µm Number of die per stack (DRAM)

22 14 配 線 D-TSV 課 題 マイクロエレクトロニクス 産 業 のサプライチェーンにおける 様 々なアプローチそして 互 換 性 3D 集 積 化 のための 様 々なアプローチのために 限 界 と 解 決 (solutions)を 明 確 にした 3D 集 積 化 のサプライチェーンや 可 能 なフローは 仕 事 (work)の 範 囲 を 超 えている 多 くの 選 択 肢 は サプライチェーンやビジネスの 中 にあるさまざまな 製 造 会 社 が 持 つ 可 能 な 能 力 に より 決 定 される オーナーシップの 明 確 な 定 義 は 非 IDM ビジネスの 成 功 により 決 定 的 であろう 総 合 歩 留 り 高 歩 留 3D 積 層 デバイスを 得 るための 設 計 そしてテスト 戦 略 デザインチャレンジ シームレスな 異 種 3D システムデザインが 可 能 な 設 計 ツールが 必 要 3D 接 続 とデバイスパッケージング 組 み 立 て(assembly)の 相 互 作 用 3D 接 続 に 求 められる 電 気 特 性 色 々なアプリケーションエリアにおける RLC 値 TSV に 寄 生 する 主 なチャレンジは TSV の 低 寄 生 容 量 化 を 実 現 することである TSV を 用 いた 3D 接 合 の 信 号 ディレイそして 消 費 電 力 は ほとんど TSV の 容 量 によって 決 定 される 3D 積 層 するこ とによる 回 路 性 能 の 低 下 を 避 けるための 容 量 は 2D 回 路 のグローバル 配 線 の 容 量 の 桁 であるべ きである この 要 件 ( 特 性 )は 採 用 する 技 術 によって TSV 容 量 の 上 限 値 がある 3D プロセスにおけるデバイスの ESD 対 策 3D プロセスは シリコン 上 に I/O 数 をドラマチックに 増 大 することができる 一 方 この I/O 数 増 大 の 実 現 は 増 大 に 比 例 して ESD にさらされる 回 路 素 子 数 が 増 す これらの 新 しい tier-to-tier I/O のフ ァインピッチ 化 は ESD 保 護 回 路 を 設 けるエリアに 制 限 を 与 える このように 3D デバイスのデザイ ンそして 製 造 は ESD の 保 護 回 路 に 注 意 を 払 う 必 要 がある 3D 製 造 にはウェーハハンドリング TSV エッチ TSV ライナー TSV 埋 め 込 み ボンディング デ ボンディングそして 積 層 工 程 では ESD という 新 たな 問 題 点 が 起 きている これらの 新 しい 工 程 に 生 ずる ESD 障 害 の 可 能 性 のレベルはほとんど 知 られていないが 様 々な 努 力 により 3D 製 造 工 にお いて ESD の 低 減 が 行 われるべきである 3D 回 路 素 子 における ESD 保 護 回 路 のサイズ(コスト)を 最 小 限 にすることが 必 要 である いったん 3D 構 造 が 完 全 に 集 積 化 されると ESD 保 護 回 路 は 3D 構 造 が I/O/P か G のための 外 部 の 経 路 の 一 部 でないなら 必 要 ではない このように 3D の 内 部 を 構 成 する 素 子 のいかなる ESD 保 護 回 路 は パワーを 加 えそして 回 路 性 能 の 低 下 させることになる コストのオーナーシップ(コストはどこが 握 るか) ボンディングされた そしてまたは 薄 ウェーハを 用 いたプロセスの 工 場 における 集 積 ボンディングや 薄 化 されたウェーハの 裏 面 処 理 は 前 述 のように 多 くのプロセスフローが 必 要 にな る これには 多 くの 製 造 と 工 場 集 積 課 題 が 提 案 されている 多 くの 場 合 これらのウェーハは SEMI M mm のウェーハ 仕 様 から 外 れる この 仕 様 はウェーハ 直 径 厚 さ ノッチ およびエッジ ベベルを 網 羅 している 4 この 規 格 は FOUPs(47.1E) FOSBs(M31) ロードポート(15.1E) およ び Wafer 識 別 (T7)を 規 定 する 他 の SEMI 規 格 によって 参 照 されている 特 定 の 3D プロセスによ ってボンディングそして 薄 化 されたウェーハはこれらのいくつかの 規 格 から 外 れるだろう 5 そして ボンディングそして 薄 化 されたウェーハの 工 場 への 投 入 は 汚 染 が 無 く パーティクルの 持 ち 込 み もなく 安 全 に 再 投 入 できることを 確 実 にすることが 求 められる そしてウェーハ 搬 送 とツール 特 有 の プロセス 課 題 のために 製 造 フローおけるツール 類 それぞれが 可 能 であることも 求 められる 一 つ の 例 として 厚 いウェーハの 搬 送 ができるように そして 異 なるウェーハエッジ 部 分 への 適 合 ができ るハードウェアそしてまたはソフトウェアである パーティクルとクロスコンタミネーション 高 度 なプロセスコントロール 環 境 安 全 そして 健 康 (ESH) 規 格 に 関 する 懸 案 事 項 二 酸 化 炭 素 排 出 規 制 高 度 な 化 学 物 質 (ガス 等 ) 使 用 ( 例 :SF 6)が 必 要 とされる 特 徴 のあるサ イズをパターニングする 際 のかなり 大 量 の 二 酸 化 炭 素 排 出 が 環 境 に 与 える 影 響 4 M1.15. SEMI M1.15, Standard for 300 mm Polished Monocrystalline Silicon Wafers (Notched) 5 E47.1. Mechanical Specification for FOUPS Used to Transport and Store 300 mm Wafers, 1997.

23 配 線 受 動 素 子 序 論 例 えば 表 面 実 装 素 子 や 集 積 型 受 動 素 子 のような 単 体 受 動 素 子 は ボード 上 からパッケージ 内 へ さらに 進 んでチップ 上 へと 場 所 を 移 している この 開 発 のための 主 な 要 因 は 基 板 スペースを 最 小 コストに 低 減 し RF 性 能 を 向 上 させ 特 にモバイル 用 途 のための 消 費 電 力 を 低 減 する 必 要 性 である それは 結 果 的 に 現 在 の また 今 後 のオンチップ 配 線 技 術 にとっての 新 たな 挑 戦 となる 主 にミックスドシグナル 高 周 波 (RF) システムオンチップ(SOC)の 最 先 端 用 途 を 実 現 するために 高 精 度 で 高 品 質 の キャパシタ インダクタ 抵 抗 が 必 要 とされるようになっている ミックスドシグナルとRF CMOS では 基 板 とのカップリ ングによるノイズやその 他 の 寄 生 効 果 の 低 減 と 制 御 が 受 動 素 子 を 使 う 上 での 最 も 重 要 な 課 題 のひとつ である アプリケーションの 観 点 からみた 受 動 素 子 への 最 も 重 要 な 要 求 は 無 線 通 信 のためのRFおよ びAMS 技 術 (RF and Analog/Mixed-signal Technologies for Wireless Communications) 章 に 挙 げられ ている これまでは IC 上 に 受 動 回 路 素 子 ( 例 えば キャパシタ 抵 抗 )を 実 現 する 方 法 は フロントエン ドプロセスによる 集 積 化 であった この 場 合 ドープされた 単 結 晶 シリコン 基 板 やポリシリコン そしてシリ コン 酸 化 物 またはシリコン 窒 化 物 が 使 われる フロントエンドプロセスで 作 製 される 受 動 素 子 は シリコン 基 板 の 近 くに 形 成 されるため 高 周 波 領 域 では 特 に 性 能 が 低 下 しやすい そのため 低 損 失 で 寄 生 成 分 が 少 なくかつ 高 品 質 な 受 動 素 子 を Si 基 板 から 遠 く 離 れた 配 線 層 内 に 形 成 する 事 にますます 努 力 が 払 われている 配 線 層 に 受 動 素 子 を 集 積 化 するための 主 な 課 題 は 全 体 としての 配 線 性 能 と 信 頼 性 を 犠 牲 にすることな く モジュール 化 と 効 率 のいい 低 コスト 化 を 実 現 することである 現 在 オンチップインテグレーションに おいて 基 本 的 に 異 なる 二 つのアプローチが 検 討 されている ひとつは 必 要 な 受 動 素 子 機 能 と 特 性 を 出 来 るだけ 高 いQ 値 と 最 小 の 面 積 で 達 成 するため 新 材 料 を 組 み 合 わせた 付 加 的 な 配 線 層 を 導 入 する ことである 通 常 この 方 法 は プロセスが 煩 雑 化 し 製 造 コストが 高 くなりやすいという 欠 点 がある 代 替 案 として 通 常 の 配 線 層 が 有 する 特 性 あるいは 寄 生 成 分 による 特 性 ( 例 えば 配 線 層 に 存 在 する キャパシ タンス インダクタンス 抵 抗 )を 用 いて 受 動 素 子 を 設 計 する 方 法 がある この 二 つ 目 の 手 段 は ウェー ハ 製 造 の 視 点 では 最 も 負 担 が 軽 い 要 求 であるが 一 般 には 受 動 素 子 のQ 値 が 劣 化 しやすく チップ 面 積 が 大 きくなりやすい 他 のアプローチとして ウェーハレベルパッケージによるパッシベーション 後 の 再 配 線 層 の 使 用 もしくはパッケージ 内 に 直 接 受 動 素 子 を 集 積 化 する 手 法 がある 革 新 的 なシステムイン パッケージ(SiP)モジュール あるいはTSV(through-silicon-vias)を 用 いた3D IC 積 層 技 術 が 非 常 に 複 雑 で 高 価 なSoC 製 造 プロセスに 置 き 換 わって 頻 繁 に 使 われるようになるであろう 最 後 に 十 分 なシス テム 性 能 品 質 と 信 頼 性 を 備 えた 受 動 部 品 の 実 現 が 可 能 となる 最 適 な 方 法 の 選 択 は コストによって 決 まると 考 えられる しかしこの 章 では オンチップ 相 互 接 続 レベルで 受 動 素 子 の 潜 在 的 な 実 現 に 主 に 議 論 を 絞 っている キャパシタ コンデンサへの 典 型 的 な 要 求 は 次 のとおり 小 さいフィーチャサイズおよび 高 い 電 荷 蓄 積 密 度 低 リーク 電 流 と 誘 電 損 失 高 い 絶 縁 破 壊 電 圧 とのTDDB 信 頼 性 同 一 のチップ(マッチング)に 隣 接 したコンデンサ 間 の 高 精 度 な 絶 対 および/または 相 対 容 量 幅 広 い 電 圧 範 囲 ( 低 電 圧 係 数 )での 高 いリニアリティ 小 さな 温 度 依 存 性 ( 小 さな 温 度 係 数 ) 低 寄 生 容 量 高 Q 値 での 高 速 スイッチングを 過 昇 温 せずに 可 能 にするための 低 抵 抗 な 電 極 と 配 線 量 産 製 品 において 2 種 類 のキャパシタがオンチップ インタコネクト インテグレーション 向 けに 存 在 して いる

24 16 配 線 1) MIM キャパシタ: 高 品 質 な 金 属 絶 縁 膜 金 属 (MIM: metal-insulator-metal)キャパシタは CMOS BiCMOS そしてバイポーラチップで 幅 広 く 用 いられている 典 型 的 なアプリケーションには フィルタや アナログ 向 けキャパシタ( 例 えば A/D D/A コンバータに 使 用 ) RF 発 信 器 に 用 いられる RF カップリン グと RF バイパス 向 けキャパシタ 共 振 回 路 およびマッチング 回 路 がある MIM キャパシタに 求 められる 重 要 な 特 性 は 広 い 電 圧 範 囲 にわたって 高 い 線 形 性 を 持 つこと( 低 い 電 圧 依 存 性 ) 低 い 直 列 抵 抗 優 れたマッチング 特 性 小 さな 温 度 依 存 性 低 いリーク 電 流 高 いブレークダウン 電 圧 十 分 な 誘 電 体 の 信 頼 性 を 持 つことである 経 済 的 な 要 求 に 応 え 小 さなチップ 面 積 を 実 現 するためには MIMのより 高 い 電 荷 蓄 積 密 度 が 必 要 とな る 2fF/μm 2 の 容 量 密 度 を 超 えると 従 来 のシリコン 酸 化 物 またはシリコン 窒 化 物 の 薄 膜 化 では リーク 電 流 の 増 加 と 誘 電 体 の 信 頼 性 低 下 により もはや 使 用 できなくなる それゆえ Al 2O 3 Ta 2O 5 HfO 2 Nb 2O 5 TiTaO BST STO などの 新 たなhigh-κ 材 料 または 異 なる 材 料 の 積 層 膜 がMIMの 誘 電 体 として 評 価 されており 将 来 用 いられるであろう 常 にそうであるように 新 材 料 の 導 入 は 成 膜 プロセス( 例 えば 最 先 端 のPVD CVD ALD 法 など) プ ロセスインテグレーション そして 信 頼 性 における 新 たな 挑 戦 につながる 卓 越 した 膜 厚 均 一 性 低 い 欠 陥 密 度 と 高 い 誘 電 率 を 持 った 高 品 質 の 薄 膜 は 配 線 形 成 プロセス 全 体 と 整 合 するよう 450 以 下 で 成 膜 される 必 要 がある 基 板 との 寄 生 カップリングを 減 少 させ MIM キャパシタの 高 いQ 値 を 実 現 するた めには 上 層 の 配 線 層 に 集 積 することが 望 ましい MIM の 高 いQ 値 の 実 現 と 信 頼 性 の 要 求 値 の 達 成 には 低 抵 抗 のキャパシタ 電 極 および 完 全 に 制 御 さ れた 電 極 / 誘 電 体 界 面 が 必 要 である 通 常 の 絶 縁 膜 とhigh-κ 材 料 を 用 いたMIMキャパシタ 用 の 実 現 性 の 高 い 集 積 化 技 術 の 計 画 について 文 献 [1-4]に 報 告 されており 高 度 なプラットフォーム 技 術 に 統 合 さ れている 2) 配 線 間 ナチュラルキャパシタ :MIMキャパシタの 最 も 不 利 な 点 は プロセスがより 複 雑 になり( 通 常 露 光 プロセスが2 回 分 増 える) 結 果 としてウェーハ 製 造 コスト 高 につながる 点 である そのため 最 小 設 計 線 幅 の 配 線 が 原 理 的 に 有 する 配 線 容 量 や 自 然 に 発 生 する 配 線 間 容 量 を 利 用 する 代 替 手 段 が 特 に 90nm 世 代 以 降 の 先 端 CMOS 技 術 で 注 目 されている クシ 歯 形 状 の 配 線 とそれを 上 下 につなぐ 層 間 ビ アからなるキャパシタが 数 層 積 み 重 ねられ プロセス 工 程 を 追 加 することなく 普 通 の 配 線 プロセスフロ ーで 設 計 製 造 が 可 能 である 最 小 線 幅 を 用 いる 配 線 の 層 数 にも 依 存 するが 容 量 密 度 2 4 ff/μm 2 かそ れ 以 上 の 値 が 実 現 可 能 である 今 日 垂 直 平 行 平 板 (VPP: Vertical Parallel Plate)キャパシタ 垂 直 ナチ ュラルキャパシタ(VNCAP: Vertical Natural CAPacitors) あるいはMetal-Over-Metal (MOM)キャパシタ の3D 積 層 は GHz 帯 でQ 値 20 以 上 を 有 する 先 端 CMOSプラットフォーム 技 術 として 標 準 的 な 提 供 物 で ある 配 線 幅 と 配 線 間 隔 のスケーリングに 伴 って VPPあるいはMOMキャパシタの 容 量 密 度 が 増 加 する ため 将 来 のテクノロジーノードで 本 技 術 はより 魅 力 的 になる 唯 一 の 未 解 決 問 題 は 最 小 配 線 間 隔 の 配 線 構 造 で 用 いるポーラス Low-κ 誘 電 体 が キャパシタ 構 造 でのリーク 電 流 や 信 頼 性 の 目 標 値 をクリア できるかどうかである 典 型 的 な 配 線 間 ナチュラルキャパシタ 例 は 文 献 [5-8]に 記 載 されている インダクタ インダクタへの 典 型 的 な 要 求 は 次 のとおり 高 インダクタンスで 高 品 質 なQ 値 インダクタンス 増 加 は 通 常 Q 値 低 下 の 要 因 になる 高 い 自 己 共 振 周 波 数 特 性 インダクタコイルにおける 低 抵 抗 損 失 ( 低 周 波 数 で 支 配 的 となる) 低 容 量 基 板 損 失 ( 高 周 波 数 で 支 配 的 となる) 低 渦 電 流 インダクタ- 基 質 相 互 作 用 によって 発 生 し 高 周 波 数 で 実 質 増 加 抵 抗 となる

25 配 線 17 高 品 質 のオンチップインダクタは アナログ/ミックスドシグナルと 高 周 波 (RF)アプリケーションにおける 重 要 な 部 品 である 現 在 それらは 特 に インピーダンスマッチング RFフィルタ RFトランシーバ 電 圧 制 御 による 発 信 器 (VCO) パワーアンプそして 低 ノイズアンプ(LNA)のRF 回 路 に 広 く 使 われている 重 要 な 特 性 は 高 インダクタンス 高 い 自 己 共 振 周 波 数 低 い 抵 抗 損 低 い 渦 電 流 そして 基 板 との 低 い 容 量 損 を 保 った 状 態 で 高 いQ 値 を 実 現 することである 現 在 のところ 最 適 なQ 値 を 実 現 するためには シリコン 基 板 から 十 分 離 れたところに 低 抵 抗 のコイルを 製 造 する 必 要 がある このため 上 層 の 厚 膜 Al 配 線 またはCu 配 線 によるスパイラルインダクタが 最 も 広 く 用 いられている これらの 単 純 なスパイラルインダクタは 標 準 の 配 線 プロセスを 用 いて 比 較 的 簡 単 に 製 造 することができる いくつかの 標 準 CMOSプラットフォーム 技 術 では 特 別 に 高 いQ 値 のインダクタを 実 現 するため 厚 さが2-6μmの 極 太 配 線 層 がオプションとして 提 供 されている しかし それらは 将 来 にわ たるRF の 要 求 を 全 て 満 足 するために 十 分 とは 言 い 切 れないだろう それゆえ いくつかのより 先 進 的 な 構 成 や 方 法 が 追 及 されている 複 数 の 配 線 層 によるシャントコイル 金 属 かあるいは 磁 性 体 金 属 のグランドプレーンの 使 用 エアギャッ プ 中 に 支 持 されたスパイラルインダクタ 厚 膜 の 再 配 線 層 によるコイル( 金 属 層 の 厚 さが 数 μm)が 形 成 で きるパッシベーション 後 の 付 加 的 なモジュール あるいは 強 磁 性 コア 入 り( 無 し)のソレノイドインダクタなど が 首 尾 よく 実 証 されている 基 板 による 損 失 を 減 少 させる 他 の 方 法 は 高 抵 抗 シリコン 基 板 SOI 基 板 もしくは イオン 照 射 かプロトン 照 射 で 部 分 的 に 半 絶 縁 性 にしたシリコン 基 板 を 使 用 することである しかしながら 集 積 化 とプロセス 複 雑 化 の 問 題 や デバイスや 製 品 の 要 求 に 適 さないなどの 理 由 により これらの 新 規 製 造 方 法 のうち 量 産 に 適 さないものもある これらの 異 なるインダクタの 概 念 は 一 方 で 低 い 製 造 コスト 他 方 で 実 現 可 能 な 最 高 性 能 (すなわち 高 い 周 波 数 での 最 高 のインダクタンス コイルの 抵 抗 損 の 低 減 によるQ 値 の 改 善 基 板 の 寄 生 成 分 の 低 減 )を 実 現 するための 絶 え 間 ない 努 力 の 現 れといえ る オンチップインダクタの 実 現 と 統 合 についての 詳 細 は 文 献 [3, 4, 9, 10]に 記 載 されている 抵 抗 体 抵 抗 への 典 型 的 な 要 求 は 次 のとおり 優 れたマッチング 特 性 高 精 度 抵 抗 制 御 高 電 圧 下 でのリニアリティ( 低 電 圧 係 数 ) 低 い 温 度 係 数 (TCR) 低 1/ fの 電 流 ノイズ 高 Q 値 ( 低 寄 生 容 量 ) 精 度 の 高 い 薄 膜 抵 抗 は アナログ 回 路 とミックスドシグナル 回 路 や 一 部 のSOC 製 品 に 使 われている 重 要 な 特 性 は 正 確 な 抵 抗 制 御 性 優 れたマッチング 特 性 電 圧 に 対 する 高 い 線 形 性 低 い 温 度 係 数 低 い1/fノイズ そして 高 いQ 値 を 実 現 するために 寄 生 素 子 の 影 響 が 小 さいことである 現 在 最 も 広 く 用 いられているフロントエンドプロセスで 作 製 された シリコン 基 板 による 抵 抗 ポリシリコン 抵 抗 そしてシリ サイド 抵 抗 は 主 に 1/f ノイズと 基 板 損 が 問 題 になりやすい 配 線 層 における 薄 膜 抵 抗 は 1/f ノイズ 性 能 と 他 の 基 板 損 を 著 しく 改 善 することができる 配 線 層 に 形 成 する 抵 抗 の 主 な 課 題 は 調 整 可 能 な 適 当 な 大 きさのシート 抵 抗 を 持 った 材 料 を 見 つけることである さら に その 材 料 は 通 常 の 配 線 材 料 とのプロセス 親 和 性 が 高 く 集 積 化 しやすく 優 れた 膜 厚 制 御 性 と モ ジュールに 集 積 化 する 際 の 絶 縁 膜 との 高 いエッチング 選 択 比 をもつことが 求 められる 特 にCu 配 線 にお いては TaN が 有 望 な 候 補 材 料 として 見 出 されているが 他 の 材 料 が 近 い 将 来 に 採 用 される 可 能 性 もあ る[2, 11]

26 18 配 線 2.5 MORE MOORE と MORE THAN MOORE 配 線 の 立 場 から 見 た More Moore は これまでの 微 細 化 スケーリングの 継 承 に 他 ならない この 配 線 スケ ーリングには 新 しい 材 料 プロセス 製 造 装 置 や 最 も 重 要 なことである 設 計 手 法 の 導 入 が 含 まれてい る レイアウトと 設 計 は ローカル 配 線 を 短 縮 して More Moore を 推 し 進 めるため これまで 以 上 に 重 要 と なる その 傾 向 は 現 状 の RC 遅 延 の 制 約 を 乗 り 越 えるため ULK 材 料 や Cu 代 替 材 料 といった 材 料 の ブレークスルーが 実 現 するまで 続 くであろう More than Moore は まだ 進 化 し 続 けているようである それは CMOS と 他 の 様 々なアナログ 応 用 例 え ば RF バイオチップセンサ アクチュエータ パワー 画 像 等 を より 近 くに 集 積 させることを 求 めている 配 線 の 立 場 から 見 れば これらのシステムは TSV を 用 いたチップ 積 層 あるいは 光 配 線 による 従 来 の CMOS との 組 み 合 わせになるであろう 詳 細 は More than Moore 白 書 に 記 載 されている 3 信 頼 性 および 性 能 3.1 信 頼 性 序 論 配 線 材 料 と 構 造 の 継 続 的 なスケーリングの 結 果 顕 著 で 新 しい 信 頼 性 課 題 が 生 じている 新 しく 出 てき た 故 障 メカニズムが 急 激 に 増 加 する 配 線 密 度 配 線 層 数 消 費 電 力 によって 想 定 される 配 線 システムは 典 型 的 には 絶 縁 材 料 と 導 体 が 多 層 に 配 置 されたもので 構 成 され パッケージされる 銅 (Cu) 配 線 の 場 合 金 属 および 絶 縁 性 の 拡 散 バリアが 絶 縁 体 への 銅 拡 散 を 防 止 するために 必 要 とされ る それらひとつひとつの 構 成 要 素 が 配 線 システムの 信 頼 性 の 重 要 な 役 割 を 持 つ 今 日 Cu/Low-κ 配 線 を 適 用 する 場 合 には 金 属 絶 縁 膜 ともに 信 頼 性 の 強 い 影 響 を 受 ける 金 属 の 信 頼 性 は 一 般 的 にエレクトロマイグレーション(EM)とストレス 誘 起 ボイド(SIV)によって 評 価 され る 一 方 絶 縁 膜 の 信 頼 性 はリーク 電 流 や 経 時 絶 縁 破 壊 (TDDB) 三 角 電 圧 掃 引 測 定 (TVS)で 評 価 さ れる 電 流 密 度 の 増 加 に 対 応 するために 多 くのバリアメタル 銅 合 金 キャップ 層 などの 方 法 が 近 年 提 案 されている EM 現 象 の 一 般 的 な 定 式 化 は 確 立 されているが EM 信 頼 性 へのスケーリング 効 果 では さらに 理 解 や 調 査 が 必 要 である 継 続 的 な 配 線 間 隔 の 縮 小 に 伴 い 銅 の 微 細 構 造 という 重 要 な 材 料 特 性 と EM の 寿 命 および 初 期 故 障 確 率 を 決 定 づける 故 障 メカニズムが 結 び 付 けられ 将 来 の 配 線 開 発 に おける EM の 困 難 な 課 題 として 注 目 されている 隣 接 する 銅 配 線 間 の 絶 縁 体 スペースが 縮 小 されると 先 端 ロジック メモリのいずれにおいても BEOL の 絶 縁 信 頼 性 がますます 重 要 で 困 難 な 課 題 になってきている 専 門 家 の 間 で 絶 縁 信 頼 性 の 重 要 性 に 関 する 懸 念 が 広 がる 一 方 で 寿 命 予 測 や 評 価 の 方 針 について 合 意 が 得 られていない 必 要 な Low-κ 絶 縁 膜 信 頼 性 のマージン 確 保 が 益 々 困 難 になっていることは 共 通 認 識 となっており[1-3] BEOL 絶 縁 信 頼 性 の 重 要 性 は 寸 法 と 材 料 のスケーリングとともに 増 している BEOL 絶 縁 信 頼 性 のモデル 統 計 ( 分 布 ) 支 配 的 制 御 パラメータに 関 する 基 礎 理 解 と 合 意 の 欠 如 は これらに 対 する 集 中 的 な 努 力 を 必 要 としてい る また 故 障 モードの 特 定 と 正 確 な 予 測 モデルの 確 立 が 緊 急 課 題 である これらのモデルは 回 路 やシステ ム 全 体 の 信 頼 性 限 界 の 予 測 に 使 うことができる いくつかの 場 合 には システムや 回 路 パラメータの 劣 化 をモニタすることで( 金 属 や 絶 縁 体 の 劣 化 による) 回 路 の 一 部 の 負 荷 を 軽 減 することによってシステム 全 体 の 信 頼 性 の 限 界 を 延 長 することが 可 能 になるかもしれない 最 後 に IC システム 全 体 の 信 頼 性 の 観 点 から チップ パッケージ インタラクションの 役 割 が 益 々 大 きくなり 無 視 してはいけない 3.2 配 線 金 属 の 信 頼 性 エレクトロマイグレーション エレクトロマイグレーション 故 障 は 一 般 的 に 配 線 に 流 せる 最 大 電 流 密 度 (J EM)を 与 えるブラックの 式 で 表 される[4] 今 日 の IC で 最 も 一 般 的 な 金 属 はアルミニウム(Al)と 銅 (Cu)である Cu 配 線 は 配 線 遅 延

27 配 線 19 を 低 減 するためにダマシン 配 線 として 1997 年 に 導 入 されたが Al 配 線 も 特 定 の 応 用 や 多 層 配 線 のいく つかの 層 で 残 った エレクトロマイグレーションによる 電 流 制 限 が 現 在 も 通 常 の EM 物 理 則 を 使 って Al 配 線 で 検 討 されている 継 続 的 な 配 線 寸 法 の 縮 小 と 電 流 密 度 の 上 昇 によって 最 近 は EM 信 頼 性 のス ケーリング 効 果 の 研 究 に 努 力 が 払 われている エレクトロマイグレーションのスケーリングモデル 電 流 に 晒 された 導 体 中 では EM 寿 命 ( ) は 最 小 ボイドサイズが 電 気 的 なオープンや 典 型 的 には10-20%の 導 体 線 の 抵 抗 上 昇 になる 時 間 である 銅 では は 臨 界 サイズに 達 するボイドの 成 長 速 度 で 次 のように 表 される: = V c /A sv d. = Lc/vd ここで V c は 臨 界 ボイド 体 積 A s は 導 体 の 断 面 積 Lcは 臨 界 ボイド 長 v d. はドリフト 速 度 である この 式 は v d.とv c あるは Lc の 導 体 形 状 依 存 性 がわかっているという 前 提 において EM 故 障 分 布 を 正 確 に 電 流 密 度 と 導 体 形 状 の 関 数 としてモデル 化 することに 使 える 銅 原 子 のドリフト 速 度 は 金 属 中 に 存 在 する 原 子 拡 散 経 路 を 考 慮 した 実 効 的 な 拡 散 係 数 を 使 って 表 される[5] ドリフト 速 度 は 適 切 なテスト 構 造 の 抵 抗 変 化 を 測 定 することで ボイドの 成 長 速 度 から 実 験 的 に 評 価 できる[6] EMの 活 性 化 エネルギーの 実 験 値 (0.9eV) は 最 も 一 般 的 なインテグレーションの 方 法 において 世 代 によらず 一 定 であることが 示 され 受 け 入 れられている すなわち PVDによるTaN/Taバリア Si(C)N 絶 縁 キャップ 層 を 用 いたキャップを 用 いたデュアルダマシンCu 配 線 の 場 合 である この 活 性 化 エネルギーは Si(C)N キャップ 界 面 での 拡 散 で 支 配 されるCu 配 線 中 のEM 起 因 の 質 量 輸 送 を 反 映 している このインテグレーション 方 式 では 65nmノ ードまでボイドの 成 長 速 度 と 活 性 化 エネルギーが0.9eVで 一 定 のままである 何 人 かは65nm 以 降 Ea 0.85eVの 粒 界 拡 散 が 質 量 輸 送 に 寄 与 すると 報 告 した[7] 実 効 的 なスケーリングモデルは Figure INTC6 に 示 すように ボイドはビア 一 個 が 接 続 した 配 線 の 陰 極 端 にあり 界 面 拡 散 によるドリフト 速 度 で 支 配 されると 仮 定 して 確 立 されてきた これは 基 本 的 には ビア 接 触 部 でのボイド 形 成 による を 持 つ 形 状 モデルである[8] このモデルによれば は w*h/j でスケー ルする ここで w は 配 線 幅 (あるいはビア 径 ) h は 配 線 厚 さ j は 電 流 密 度 である Figure INTC6 Experiment and model of lifetime scaling versus interconnect geometry [8] 形 状 モデルから 新 しい 世 代 毎 に 寿 命 が 半 分 に 減 少 する 一 方 で 配 線 はつねに 小 さなプロセス 変 動 に 敏 感 である 例 えばビア 高 さの 10%の 増 加 や 配 線 幅 の 減 少 が バイモーダル 寿 命 分 布 や 初 期 故 障 を 引 き 起 こし 電 流 密 度 の 変 化 以 上 に EM 寿 命 が 著 しく 減 少 する 可 能 性 がある Figure INTC7 は 技 術 ノード に 対 して 起 こり 得 る 寿 命 の 変 化 を 予 測 したもので 減 少 するボイド 体 積 の 影 響 と 必 要 な EM 改 善 を 示 して

28 20 配 線 いる これは Cu 拡 散 の 促 進 リスクを 引 き 起 こすバリアや Cu シードのカバレッジ 不 足 の 可 能 性 がある これはビア 溝 の 遷 移 領 域 で 発 生 する 可 能 性 があり 上 向 き 電 流 方 向 の 配 線 寿 命 に 致 命 的 である カバレ ッジ 不 足 は 溝 形 状 に 従 って 配 線 側 面 においても 生 じる 可 能 性 があり 下 向 き 電 流 方 向 の 配 線 寿 命 にお いて 致 命 的 である この 問 題 は 22nm 以 降 の 技 術 ノードの 非 常 に 薄 いビアバリア 形 成 において 特 に 懸 念 される 問 題 となる 可 能 性 がある[9] Figure INTC7 Evolution of lifetime vs. technology node. Black line shows trend for reduced critical void volume: Green line shows the EM enhancement urgently needed (Courtesies of A. Aubel/GLOBALFOUNDRIES) 最 大 電 流 密 度 J EM は 目 標 寿 命 を 満 たすことのできる 最 大 電 流 密 度 で w*h 積 とともに 減 少 する 最 大 等 価 直 流 電 流 密 度 J max は 高 性 能 デジタル 回 路 のインターミディエート 配 線 に 現 れる 電 流 相 当 の 最 大 直 流 電 流 で Figure INTC8 に 示 すモデルによって 計 算 される J max と 配 線 形 状 のスケーリングで 制 限 され る J EM の 変 化 を 比 較 したものが Figure INTC9 に 示 されている J max はスケーリングに 従 って 配 線 断 面 積 の 減 少 と 最 大 動 作 周 波 数 の 増 加 によって 増 加 する しかし 過 去 数 年 にわたって 最 大 周 波 数 は 一 定 もしくは 減 少 している このクロック 周 波 数 のスケーリングの 変 化 は 継 続 する 配 線 スケーリングにおい て J max が 通 常 の Cu 配 線 の J EM 限 界 を 超 える 点 を 先 延 ばしする

29 配 線 21 Figure INTC8 Calculation Model for J max (The maximum equivalent dc current expected to appear in a high-performance digital circuit divided by the cross-sectional area of an intermediate wire.) Figure INTC9 Evolution of J max (from device requirement) and J EM (from targeted lifetime) J EM の 限 界 を 克 服 する 可 能 性 のある 解 決 策 J EM 限 界 を 克 服 するために 候 補 となる 解 決 策 が 第 一 義 的 には EM 下 での 原 子 輸 送 を 減 らすことに 着 目 して 開 発 されてきた 狭 い 線 幅 での 寿 命 低 下 を 克 服 する 実 用 的 な 解 決 策 が 以 下 に 挙 げられている: 粒 界 拡 散 の 防 止 最 近 の 研 究 は 45nm ノード 以 降 粒 構 造 がドリフト 速 度 ひいては EM 信 頼 性 に 与 える 役 割 がますます 重 要 になっていることを 示 している[7, 10, 11] 銅 合 金 シード(すなわち Al か Mn)を 使 ったプロセス でが 最 適 な 寿 命 増 加 方 法 になることが 示 されてきた[12] 不 純 物 が 粒 界 にあることが 示 されたことから[13, 14] 粒 界 拡 散 が 顕 著 に 減 少 すると 期 待 される 例 えば 平 均 の 活 性 化 エネルギーが ev まで 増 加 す ることが 最 近 報 告 されている[15, 16] 銅 合 金 プロセスでは 配 線 抵 抗 の 増 加 可 能 性 に 注 意 を 払 う 必 要 がある[17] 寿 命 改 善 への 合 金 の 効 果 に 対 する 抵 抗 率 の 上 昇 が Figure INTC10 に 比 較 されている

30 22 配 線 Figure INTC10 Comparison of the Lifetime Improvement versus the Resistivity Increase for Different EM Resistance Booster Technologies [11] 銅 キャップと 銅 粒 界 エンジニアリングの 組 み 合 わせ. 粒 界 拡 散 速 度 が 遅 くなっても 銅 と SiCN 絶 縁 膜 界 面 の 拡 散 経 路 がまだ 残 っている さまざまなプロセス オプションが 界 面 の 質 量 輸 送 を 低 減 するために 考 えられてきた 最 も 有 効 なものは 銅 と SiCN 絶 縁 バリ アの 間 に 薄 い 金 属 層 (すなわち CoWP か CVD-Co)を 挟 むものである[18] この 層 は 銅 配 線 抵 抗 の 上 昇 を 最 小 限 にし しかも 銅 配 線 の 全 長 幅 にわたって 均 一 な 被 覆 性 をもつ 十 分 な 厚 さとすべきである し かし この 方 法 による 寿 命 の 増 加 は 粒 構 造 が 微 細 な 場 合 か 狭 い 配 線 幅 では 粒 構 造 が 大 きい 場 合 か 広 い 配 線 幅 に 比 較 してあまり 明 確 ではないことが 示 されている[11] キャップ 層 のアプローチはプロセス 制 御 上 のリスクがあるので EM による 拡 散 を 減 らすには 銅 合 金 との 組 み 合 わせがより 有 効 であろう 事 実 最 近 の 研 究 によって CuMn シード 層 が 銅 の 最 上 層 界 面 での Mn 析 出 を 生 じさせ 粒 界 拡 散 の 防 止 に 加 えて 界 面 の 質 量 輸 送 が 減 少 することがわかった[14] これらの 結 果 は CuMn 合 金 が 32nm ノード の EM 改 善 に 対 して 最 も 適 したアプローチであり 22nm ノード 以 降 にも 拡 張 できることを 示 した 線 幅 による 寿 命 増 加 の 活 用 粒 界 拡 散 による 質 量 輸 送 への 影 響 が 大 きいほど EM 寿 命 を 制 御 する 上 で 銅 の 微 細 構 造 の 役 割 が 重 要 になる 45nm ノード 以 降 では とても 小 さい 粒 サイズや 多 結 晶 の 割 合 が 増 えることが 観 察 されている [19, 20] このことは 線 幅 を 増 やすことが 平 均 の 粒 サイズやバンブー 結 晶 粒 の 割 合 を 増 加 させ ボイド の 成 長 速 度 を 抑 えるのに 良 い 影 響 を 持 つことを 示 す 最 近 の 研 究 では 線 幅 をだいたい 比 率 で 2 倍 に 増 加 すると 一 定 の 電 流 密 度 条 件 で 少 なくとも 3 倍 に 寿 命 が 改 善 できることが 示 された[13, 15] これに よって 線 幅 の 関 数 として 電 流 許 容 度 のマージンを 増 加 できる しかし 実 用 的 には 以 下 に 述 べる 短 い 配 線 長 の 効 果 のほうが J EM 限 界 を 伸 ばすのにより 有 効 である JEM 緩 和 のための 短 い 配 線 長 の 効 果 (あるいはブレッヒ 長 ) 初 期 の EM の 研 究 から 短 い 配 線 長 (あるいはブレッヒ 長 L B )では 不 働 態 化 ができる[21-23]. 不 働 態 状 態 には 与 えられた 電 流 密 度 か 配 線 長 が 臨 界 積 : J C*L B の 限 界 より 低 い 値 で 達 する これは 力 学 的 に 閉 じ 込 められた 金 属 線 の 中 で 電 流 による 質 量 輸 送 に 抗 して 発 生 するバックフロー 効 果 に 関 連 づけ られる 実 験 では J C*L B の 判 定 基 準 が 1500 から 5000 A/cm の 比 較 的 広 い 範 囲 で 分 布 し 温 度 には 依 存 しない 最 近 報 告 された 値 では 50nm 幅 の Mn 合 金 銅 配 線 で 3380 A/cm [15] で 45nm から 20nm ノードで 3100 A/cm と 報 告 された[10]

31 配 線 23 短 い 配 線 長 の 効 果 は 実 効 的 に 導 体 線 の 電 流 許 容 能 力 を 拡 大 するのに 用 いられ 配 線 電 流 密 度 の 設 計 基 準 を 支 配 してきている その 効 果 は 実 効 的 な 電 流 密 度 を J Jc の 関 数 として 表 すことで 取 り 入 れら れる[10, 24] しかし この 判 定 基 準 には J C*L B の 範 囲 内 で 動 作 しても 導 体 が 故 障 するという 最 近 の 研 究 が 示 すように 初 期 故 障 のリスクがある そのメカニズムは ビア 界 面 でのスリット 形 状 のボイド 形 成 と 関 連 づけられ 配 線 が 故 障 する 前 に 故 障 の 可 能 性 がある この 問 題 は 複 雑 で 確 率 的 な 性 質 のもので ビアコ ンタクトのプロセス 制 御 や 局 所 的 な 銅 の 結 晶 粒 構 造 に 依 存 する[10, 19] 不 働 態 化 の 判 定 基 準 は ブレ ッヒバックフロー 効 果 がある 条 件 でのボイドの 核 発 生 と 成 長 の 両 方 を 考 慮 するように 改 良 されてきた この モデルでは ボイドの 形 成 速 度 が J*L c2 の 関 数 として 表 されるボイドの 核 発 生 と J*L c の 関 数 として 表 され るボイド 成 長 の 両 方 の 寄 与 を 含 む[10] その 他 の 判 定 基 準 として 回 路 のオープンに 至 らない 安 定 なボイ ド 形 成 に 基 づいて 提 案 されている[25] この 判 定 基 準 は J*L 2 c の 関 数 である スケーリングの 効 果 と 初 期 故 障 確 率 継 続 したスケーリングに 伴 う EM 寿 命 の 減 少 は 致 命 的 な 課 題 である スケーリングの への 影 響 は V c /A s か Lc で 表 されるボイド 形 成 に 関 係 する 形 状 効 果 に 関 連 づけられ また v d に 寄 与 する 原 子 輸 送 プ ロセスに 関 係 する 運 動 力 学 的 な 効 果 に 関 連 付 けられる これらの 効 果 は 複 雑 で 相 互 に 依 存 し 確 率 的 な 性 質 を 持 ち 製 造 プロセスの 制 御 銅 の 微 細 構 造 の 変 化 ボイド 形 成 メカニズムに 依 存 する 例 えば ビア 下 に 形 成 されるスリット 形 状 のボイドは 配 線 中 に 形 成 される 溝 形 状 のボイドに 比 較 して 初 期 故 障 につながることが 示 されてきた[10, 11] 最 近 では EM 寿 命 へのスケーリングの 効 果 の 網 羅 的 な 研 究 によ って 65nm と 20nm の 間 で ボイドの 長 さが 配 線 寸 法 の 減 少 とは 関 係 なく おおまかには 技 術 ノードをま たいで 一 定 であることが 示 された[10] 平 均 故 障 時 間 は 第 一 義 的 にはドリフト 速 度 によって 決 まり 配 線 寸 法 の 減 少 にさらされる 微 細 構 造 の 変 化 に 依 存 する 小 さな 結 晶 粒 の 増 加 や 多 結 晶 構 造 が 寿 命 減 少 のカギとなる 要 因 であることがわかった メジアン 故 障 時 間 の 結 果 が 解 析 され 界 面 拡 散 の 活 性 化 エネル ギー0.95eV とともに 粒 界 拡 散 の 0.84eV が 得 られた 両 方 ともに 以 前 の 研 究 と 合 致 する 顕 著 には 故 障 分 布 の 低 いパーセンテージでは ビア 下 のスリットボイドの 体 積 は 最 小 配 線 寸 法 と 相 関 があることが わかり メジアン 故 障 時 間 より 早 くスケールする したがって 回 路 の 信 頼 性 を 決 めるスケーリング 効 果 は ビア 下 のスリットボイドの 初 期 故 障 確 率 で 決 まってしまう ボイド 形 成 の 運 動 力 学 的 なモデルが 銅 配 線 の スリットボイドをもとに 最 近 定 式 化 された[26] このモデルは スリットボイドの 配 線 抵 抗 変 化 のレート( 溝 ボ イドのわずか 約 半 分 のレート)に 関 するスケーリング 効 果 と 銅 配 線 中 の 残 留 応 力 の 役 割 との 興 味 深 い 密 接 な 関 係 にある

32 24 配 線 Lifetime at NSD = -3 normalized to 90nm data nm ~9x 65nm ~18x 45nm 40nm 28nm Cu CuMn h x d [um2] Figure INTC11 Comparison of EM lifetime for Cu and CuMn interconnects at 0.1% (NSD = -3) as a function of line height h x via size d for various technologies. CuMn significantly enhances the EM lifetime for 40nm and 28nm nodes to levels exceeding the Cu 65nm node [29]. 初 期 故 障 率 から 1ppm という 低 い 故 障 率 での 回 路 寿 命 予 測 のための 外 挿 に 対 するニーズが 増 加 してい る このように 低 い 故 障 率 を 一 個 のテスト 構 造 の 結 果 を 集 めて 対 数 正 規 分 布 によって 正 確 に 外 挿 する のは 困 難 である これは 低 い 故 障 率 での 対 数 正 規 寿 命 プロットの 標 準 偏 差 パラメータ (σ)に 大 きく 依 存 し それはサンプル 数 やプロセス 制 御 にほぼ 依 存 する ホイーストンブリッジ 法 に 基 づくマルチリンク 構 造 が 統 計 的 な EM 試 験 に 採 用 されてきている[27] このアプローチは 100 万 個 を 超 えるテスト 構 造 に 近 づく 大 規 模 な EM 試 験 を 可 能 にする[28] これによって すばらしく 初 期 故 障 の 確 率 分 布 の 精 度 が 上 が る 最 弱 リンク 近 似 に 基 づく 逆 重 畳 積 分 によって マルチリンク 構 造 の 試 験 結 果 は 平 均 故 障 時 間 とは 異 なることが 多 い 初 期 故 障 確 率 において 1 個 のテスト 構 造 と 等 価 な 故 障 分 布 が 得 られる この 技 法 は 現 在 の 技 術 ノードの 銅 配 線 に 対 する Mn アロイ 効 果 の EM 信 頼 性 への 効 果 の 研 究 において 最 近 示 さ れた[29] この 結 果 は Figure INTC11 に 示 されており 銅 配 線 と CuMn 配 線 に 対 する EM 寿 命 が 90nm から 28nm ノードの 配 線 高 さ h ビアサイズ d の 関 数 として 0.1% (NSD = -3)において 比 較 を 示 し ている CuMn は 40nm と 28nm ノードの 寿 命 を 65nm ノードの 銅 配 線 を 超 える 水 準 に 著 しく 向 上 するこ とがわかった この 知 見 は 他 の 研 究 と 矛 盾 せず[10, 15] Mn アロイが 将 来 の EM 信 頼 性 向 上 のために 最 適 な 選 択 である マルチリンクの 直 列 テスト 構 造 (100 リンクまで) が 一 個 のテスト 構 造 の 確 率 の 幅 を 広 げるのと 同 時 に ホイーストンブリッジ 法 の 単 純 化 のために 使 われてきた[30] マルチリンク 構 造 で 得 られた 初 期 故 障 の 分 布 から MTF と σ 値 の 変 化 は より 小 さい 値 になることが 明 らかになったが これはホイーストンブリッジの 実 験 で 観 察 された 統 計 分 布 の 傾 向 と 矛 盾 しない 一 方 初 期 故 障 の 確 率 は 最 弱 リンク 近 似 に 基 づくワイ ブル 分 布 に 従 うことがわかった[31] ワイブル 分 布 のスケールと 形 状 ファクターは 極 値 と 順 序 統 計 量 の 枠 組 みの 中 で 初 期 故 障 を 解 析 することで 得 られる ワイブルによる 初 期 故 障 確 率 を EM 信 頼 性 に 密 接 に 関 連 づけるには まだ 検 討 が 残 されている ストレスマイグレーション ストレス 誘 起 ボイド (SIV か SM) は IC 回 路 の 中 で 可 能 性 のあるリスクとして 認 識 されてきている ある 程 度 EM と 同 様 に SIV 故 障 は 応 力 勾 配 下 での 空 孔 拡 散 の 結 果 としてボイドが 形 成 される[32] 不 幸 なことに SM 寿 命 予 測 の 法 則 は 現 在 まで 提 案 されていない しかし SM が 設 計 に 依 存 し 大 きな 空 孔 リ

33 配 線 25 ザーバがあるときに 故 障 リスクが 高 くなるとうのは 例 えば 広 い 幅 の 配 線 上 のシングルビア[32]や 広 い 幅 の 配 線 に 鼻 のように 細 い 配 線 が 突 き 出 したテスト 構 造 [33]の 場 合 など 理 解 しやすい 考 え 方 である そ こで SM リスクの 評 価 は 様 々な 種 類 のテストパターンを 使 って 長 時 間 の 試 験 で 行 われる[34] しかし いくらか EM リスクと SM リスクとは 影 響 を 及 ぼし 合 う 可 能 性 もある[35] まず どちらの 駆 動 力 が 支 配 的 か どうかにかかわらず 銅 原 子 や 空 孔 拡 散 は 起 こりうる 拡 散 パスで 生 じるはずであり 大 体 最 も 活 性 化 エ ネルギーの 低 い 拡 散 経 路 にそって 拡 散 する 従 って 以 前 挙 げた EM を 改 善 するプロセス 手 法 が SM リ スクを 減 らすのに 役 立 つであろう TSV アプローチの 配 線 におけるエレクトロマイグレーション TSV につながっている 銅 配 線 について エレクトロマイグレーションの 物 理 は ボイドの 核 発 生 と 銅 の 拡 散 に 関 してまだ 同 じである 例 えば 1-10µm 径 でどんなアスペクト 比 の TSV でも ボイドは 少 なくとも TSV 径 と 平 面 寸 法 が 等 しい 配 線 積 層 の 最 近 接 配 線 の 中 の TSV 直 下 の 陰 極 側 で 核 発 生 する そして 実 験 的 に 活 性 化 エネルギーとして 0.9eV が 報 告 されている[36] 通 常 の 配 線 と 同 様 の 評 価 法 が TSV つ きの 配 線 の 最 大 電 流 容 量 を 決 めるのに 応 用 できる はんだバンプあるいは 銅 ピラーのエレクトロマイグレーション はんだバンプや Cu ピラーフリップチップパッケージでは 明 確 に 異 なるエレクトロマイグレーション 挙 動 が 予 想 される 金 属 間 化 合 物 (IMC)がプロセス 中 の 熱 アニールやエレクトロマイグレーション 中 の 電 流 ス トレスによって 形 成 される[37] 二 つの 主 要 なケースに 分 類 できる - EM ボイドが IMC とはんだ(SnAg)の 界 面 に 生 じる 可 能 性 エレクトロマイグレーションのパラメータ が Black の 式 にフィッティングすることで 得 られ 活 性 化 エネルギーは 0.9eV ジュール 加 熱 の 影 響 が 無 視 できるとき 電 流 密 度 係 数 は 1 から 1.5 の 間 [38,39] ここでもまた 故 障 メカニズムはボイ ド 成 長 で 制 御 される - 反 対 に はんだが 完 全 に IMC に 変 化 した 場 合 (つまり Cu ピラー 構 造 と) パッケージ 材 料 と 互 換 性 のあるそこそこの 電 流 や 温 度 ストレス 条 件 下 では もはやエレクトロマイグレーション 劣 化 は 予 想 されない[40] 3.3 絶 縁 信 頼 性 経 時 絶 縁 破 壊 経 時 絶 縁 破 壊 (TDDB) は 急 速 に BEOL 絶 縁 信 頼 性 評 価 の 標 準 試 験 として 受 け 入 れられてきている すでに 多 くの 要 因 やメカニズムが 認 識 されているが 物 理 的 な 理 解 の 面 では まだまだ 完 璧 からは 遠 い 基 本 的 に 絶 縁 信 頼 性 は 故 障 個 所 とメカニズムによって Figure INTC12 に 示 すように 分 類 される Figure INTC12 Degradation paths in Low-κ damascene structure

34 26 配 線 A) CMP 界 面 は 常 に BEOL 絶 縁 破 壊 の 最 も 懸 念 されるものに 含 まれる 傾 斜 を 持 った 絶 縁 溝 は CMP 界 面 が 他 の 界 面 に 比 べて 電 界 強 度 のより 強 いところに 結 びつき 本 質 的 により 弱 い 箇 所 になる こ れは 銅 の 存 在 にょりさらに 悪 化 する CMP プロセス 中 や CMP と 絶 縁 バリア 堆 積 の 間 の 銅 の 酸 化 やイ オン 化 が TDDB 寿 命 劣 化 の 主 要 因 という 結 果 が 示 されてきた[1] Figure INTC13 は 絶 縁 破 壊 への CMP と CMP 後 の 保 持 時 間 の 影 響 を 示 している さらに TDDB 寿 命 を 保 持 するには CMP 界 面 の 水 分 を 単 純 に 熱 で 除 去 しただけでは 不 十 分 で 他 の 物 理 的 な 方 法 を 併 用 しなければならない[2, 3] さら に 部 分 的 に 加 工 したダマシン 基 板 を 使 って 残 留 Cu の 量 と 絶 縁 信 頼 性 の 定 量 的 関 係 が 明 らかにされ 残 留 Cu の 上 限 値 が atoms/cm 2 と 設 定 された[4] Figure INTC13 Impact of CMP and post CMP delay time on dielectric breakdown: Dielectric breakdown voltage decreases as post Cu CMP delay time increases [2] B) もうひとつの 明 文 化 された Low-κ 信 頼 性 課 題 は パターン 加 工 中 の 配 線 層 間 絶 縁 膜 のダマシン 集 積 化 に 関 係 する SiOCH を 基 盤 とする Low-κ 絶 縁 膜 が 加 工 プラズマ(エッチ/ 剥 離 )に 晒 されるとき 炭 素 の 消 失 やシラノール 基 の 侵 入 など 望 まない 変 質 が 生 じる 可 能 性 がある[5] Low-κ 絶 縁 体 の 撥 水 性 が 劣 化 し 結 果 としての 吸 湿 が 信 頼 性 懸 念 につながるであろう[6, 7]. Figure INTC14 は Low-κ TDDB 寿 命 へのプラズマの 影 響 を 示 している 先 に 述 べた 効 果 は 認 識 され 対 策 が 打 たれてきているが[5] こ れらの 相 互 作 用 やダマシン 製 造 プロセスとの 関 連 はさらに 検 討 が 必 要 である 現 段 階 で 故 障 は 一 般 に 絶 縁 材 料 固 有 の 性 質 より インテグレーション 方 法 の 影 響 がより 大 きい

35 配 線 27 Figure INTC14 Impact of plasma process on Low-κ TDDB [7] C) 次 の Low-κ 信 頼 性 課 題 もダマシンインテグレーションに 関 連 する 超 低 誘 電 率 絶 縁 体 (ULKs)の ポアシールプロセスである 誘 電 率 が 2.0 のマイルストーンに 徐 々に 近 づくにつれ そのような Low-κ 絶 縁 膜 は 高 い 空 孔 率 を 持 ち 誘 電 率 を 犠 牲 にすることなくシールすることが 極 めて 困 難 である ULK のイ ンテグレーション 法 は 慎 重 に 信 頼 性 評 価 を 行 う 必 要 がある[8] Figure INTC15 はポアシールの Low-κ 信 頼 性 post-etch-burn-out (PEBO) と post-cmp-burn-out(pcbo) 材 料 の 影 響 を 示 している Figure INTC15 Effect of pore sealing on Low-κ reliability: breakdown electric field at T=100 C for a 50nm dielectric spacing of PEBO- and PCBO-integrated porous SiLK TM [8] D) ターゲットの 誘 電 率 が 微 細 化 に 伴 って 減 少 するにしたがって バルク/ 物 質 本 来 の 誘 電 的 性 質 の 信 頼 性 への 影 響 が 見 えてくる 可 能 性 がある 例 えば ポロジェン( 空 孔 形 成 剤 )の 残 留 物 が 信 頼 性 同 様 機 械 的 特 性 に 影 響 することが 示 されている[9, 10]. リモート He/H 2 プラズマで 残 留 ポロジェンを 取 り 除 くことで リーク 電 流 は 数 桁 減 少 し 絶 縁 破 壊 電 圧 が Figure INTC16 の 中 のデータに 示 されているように 向 上 する さらに 基 礎 的 なポロジェンキュアの Low-κ 絶 縁 信 頼 性 への 影 響 の 検 討 が 必 要 である Figure INTC16 Impact of bulk Low-κ property on Low-κ reliability: Leakage current density versus applied electric field for two CVD Low-κ after curing and after curing and porogen removal by He/H 2 plasma [10] 先 に 述 べた BEOL 絶 縁 劣 化 箇 所 メカニズムと ダマシンインテグレーションでの 様 々な 影 響 要 因 の 合 併 相 互 作 用 から 基 本 的 な Low-κ 信 頼 性 評 価 には 専 用 のテスト 構 造 が 欠 かせない Figure INTC17

36 28 配 線 に 示 すような 特 別 な 平 面 容 量 のテスト 構 造 では CMP やプラズマ 加 工 などプロセス 中 や 電 気 的 特 性 評 価 でのプローブによる 圧 力 の 影 響 がなく 有 効 な 絶 縁 信 頼 性 の 発 見 に 結 びついてきた[11] 絶 縁 溝 側 壁 へのインテグレーションプロセスの 影 響 を 模 擬 できる 新 しいテスト 構 造 は ダマシンプロセス 中 で 受 ける 実 際 の 状 態 をより 良 く 理 解 するのにも 重 要 である Figure INTC17 Cross-section and top-down schematics of Low-κ planar capacitor structure designed for intrinsic TDDB study of barrier/low-κ for damascene integration [11] 現 在 ほとんどの TDDB 測 定 は 静 的 条 件 下 すなわち 一 定 の 電 圧 もしくは 電 流 下 で 行 われる 動 的 条 件 下 での 評 価 は 重 要 であるが ほとんんどなされていない ひとつには 極 性 変 えた 時 (AC 対 DC [12]) 欠 陥 の 緩 和 が 起 こる 可 能 性 があり 長 寿 命 になる 一 方 典 型 的 な 配 線 構 造 は 金 属 - 絶 縁 体 - 金 属 型 であるが ストレス 中 にできた 絶 縁 欠 陥 は 修 復 できず 期 待 された 長 寿 命 化 の 効 果 は 小 さい TDDB と EM SIV 密 着 応 力 他 との 相 互 作 用 については あまり 検 討 がなされていない[13] エアギャップインテグレーションでは 明 らかに 物 理 が 変 わる エアギャップでは 界 面 のみが 影 響 すると 考 えられ 信 頼 性 マージンは 界 面 の 質 に 依 存 して 配 線 間 に 絶 縁 膜 がある 場 合 より 良 い 可 能 性 も 悪 い 可 能 性 もある 例 えば あるエアギャップ 法 では 致 命 的 な CMP 界 面 の 影 響 を 取 り 除 く TDDB の 一 方 で 三 角 電 圧 掃 引 測 定 (TVS) や 様 々なで 電 圧 ランプ 法 が 電 気 的 に 活 性 な 成 分 を 検 出 す るのに 使 われる 一 般 に ストレス 条 件 は 実 際 の 使 用 条 件 により 近 いことが 望 ましく パッケージレベルの 低 電 圧 TDDB 測 定 がもっとも 直 接 的 な 方 法 であるが 時 間 を 要 する[14] 最 後 に 真 性 の 信 頼 性 性 能 指 数 と 限 界 の 確 立 が 大 変 重 要 になると 考 えられる 絶 縁 信 頼 性 への LER とビアのミスアライメントの 影 響 配 線 間 の 電 界 は 局 所 的 に LER やミスアライメント ビア (MV)によって 大 きくなる LER はトランジスタゲ ートや 配 線 のリソグラフィーとエッチングに 起 因 する それは 加 工 したポリシリコンや 金 属 配 線 の 不 規 則 な 側 面 形 状 からなり ナノメートルサイズの 突 起 やノッチを 特 徴 とする 配 線 における MV はパターン 工 程 でのアライメント 精 度 限 界 による いずれの 場 合 も 電 界 の 増 加 が 二 つの 明 確 な 効 果 によって 引 き 起 こさ れる すなわち 局 所 的 な 配 線 間 隔 の 減 少 と LER 突 起 や MV におけるステップでの 電 荷 密 度 の 増 加 で ある それらの 相 対 的 な 重 要 性 は 技 術 ノードが 進 むほど より 顕 著 になる[15] 配 線 において 同 時 に 存 在 する LER と MV その 結 果 生 じる 電 界 の 増 加 は 幅 の 狭 い 配 線 においては 無 視 できない ビアつきの 短 い 配 線 (~10 m)では LER の 影 響 は 確 率 的 になる すなわち 短 い 配 線 ほど MV の 影 響 が 大 きくなる 一 方 長 い 配 線 (> 10 m)では MV と LER の 両 方 が 電 界 の 増 加 に 寄 与 する 異 なるスケーリングシナリオにおいて 二 つの 電 界 増 加 要 因 の 相 対 的 な 寄 与 に 応 じて どちらかが 支 配 的 になる[16]

37 Likelihood ratio 配 線 29 ローカル 配 線 の 導 入 では LER と MV による 電 界 強 度 の 増 加 は 配 線 長 が 短 く 最 少 寸 法 に 近 いため より 深 刻 である 信 頼 性 評 価 モデル 化 とシミュレーション TDDB 加 速 モデルは 動 作 条 件 での 絶 縁 信 頼 性 マージンを 記 述 し 予 測 する 上 で 基 本 である 典 型 的 な 試 験 は 高 電 界 で 実 施 し それらのデータが 動 作 条 件 での 寿 命 予 測 に 用 いられる この 場 合 データか ら 数 桁 を 超 える 外 挿 を 伴 うことが 多 い 予 測 方 法 のコンセンサスが 得 られていないため ここが 明 らかに 進 展 の 必 要 とされている 分 野 である 技 術 ノードとともに 信 頼 性 マージンが 減 少 するにしたがって 安 全 サイドのモデルは 使 用 条 件 で 外 挿 した 場 合 要 求 寿 命 を 満 たさないかもしれない 最 も 安 全 サイドの E モデルは まだ 広 く 使 われ 短 時 間 でできる 評 価 法 であるが すでにパッケージレベルの 低 電 界 での 長 時 間 試 験 においては 成 立 しないことが 示 されている[17] 長 期 間 のパッケージレベル TDDB 試 験 データ を 全 部 使 って 統 計 的 な 最 尤 パラメータを 比 較 した 重 要 な 研 究 では κ- 値 が 3.2 から 2.5 までにおいて 少 なくともべき 乗 則 あるいはもう 少 し 複 雑 な 形 として Figure INTC18 に 示 す 衝 撃 ダメージモデルでの 低 電 界 への 外 挿 で 評 価 できる[18, 19] 電 子 の 伝 導 メカニズムと 銅 / 汚 染 金 属 のドリフトに 関 係 する 現 象 に 結 びつけた より 基 礎 的 な 検 証 が 必 要 である 1E+33 1E+29 1E+25 1E+21 1E+17 1E+13 1E+9 1E+5 1E+1 1E-3 E E Impact Damage Em 1/E Figure INTC18 Likelihood ratio of the simultaneous fits of all lifetime models for the 4 data sets of TABLE I with κ 2.5. E-model was used as a reference and its likelihood ratio is 1 by definition [19] すべての 加 速 モデルは 電 界 依 存 が 最 重 要 である 局 所 的 な 電 界 増 加 につながるいくつかの 要 因 があり 空 孔 率 ( 空 孔 があることによって 生 じる 電 界 増 加 )[20] ラインエッジラフネスやビアミスアライメントも 含 ま れる 局 所 的 な 電 界 増 加 は 破 壊 メカニズムを 変 えないが 浸 透 経 路 を 短 くする 空 孔 率 による 局 所 電 界 の 増 加 は 材 料 とともにスケールし 空 孔 率 から 導 かれる 材 料 特 性 とみなせる. LER によって 引 き 起 こされる 電 界 増 加 は 先 端 の 配 線 アーキテクチャにおいても 目 に 見 える 影 響 をもつ 長 い 配 線 において 寸 法 縮 小 とともに LER が 増 加 し その 結 果 LER がない 場 合 の 予 測 寿 命 より 低 下 す る 正 確 な TDDB 予 測 モデルでは この 効 果 を 考 慮 しなければならない[16, 21-24] 同 時 にモデルは これらの 効 果 を 勘 定 すべきである さらに 考 慮 すべき 外 的 なレイアウトや 配 線 形 状 に 関 係 した 電 界 増 加 要 因 も 存 在 する なぜなら 電 界 増 加 の 場 所 が 最 重 要 と 考 えられるからである[25] 特 に レイアウトのトポ ロジー( 規 則 的 か 不 規 則 か)と 対 応 するアプリケーション(メモリかロジックか)によって モデル 化 すべき 特 徴 的 な 形 状 ( 配 線 の 角 部 配 線 の 曲 部 スロープ 形 状 の 配 線 ミスアラインしたビア 局 所 的 に 非 対 称 な 断 面 )の 存 在 が 決 まる 典 型 的 なダマシン 配 線 の TDDB 試 験 構 造 は 曲 線 - 櫛 歯 櫛 歯 - 櫛 歯 平 行 配 線 もしくはビアチェインである 実 製 品 と 関 連 付 けるためには レイアウト 効 果 とともに 配 線 長 依 存 性 も

38 30 配 線 評 価 する 必 要 がある モデルがわかれば それらはシステムレベルでの 振 る 舞 いを 予 測 するツールとリン クできる その 観 点 から 徐 々に 劣 化 する 現 象 が 特 に 興 味 深 い[26, 27] なぜなら 今 日 のツールでは 取 り 込 めていないが システムレベルで 対 策 できる 配 線 スピードが 徐 々に 低 下 する 現 象 を 引 き 起 こすからで ある 今 日 まで 最 も 一 般 的 な 絶 縁 故 障 はハードな 破 壊 か 急 激 な 故 障 である それにも 関 わらず ソフト な 破 壊 や 徐 々の 疲 労 がわずかながら 報 告 されており 注 意 を 払 う 必 要 がある[28] 電 流 レベルを 予 測 するために 絶 縁 材 料 中 の 電 子 やイオン 伝 導 を 理 解 する 必 要 がある 近 年 フォトエミ ッションを 用 いて Low-κ 材 料 の 欠 陥 特 性 が 研 究 された[29, 30] 光 照 射 後 の 過 渡 電 流 測 定 より 欠 陥 密 度 が 求 められ おおよそ 6 x traps/cm 3 という 値 が Figure INTC19 に 示 すように 得 られた これは 典 型 的 なシリコン 酸 化 膜 より 数 桁 高 い 値 である Low-κ 材 料 の 空 間 的 エネルギー 的 なトラップ 分 布 はまた 量 子 に 基 づいた 電 気 的 方 法 で 検 討 されている[31] Low-κ 材 料 が 酸 化 膜 に 比 較 して 本 質 的 に 欠 陥 が 多 い ことは 明 らかである しかし いくつかの 研 究 によってポーラス Low-κ の 伝 導 と 欠 陥 との 関 係 が SiO 2 と 同 様 であることが 示 されている[32] Figure INTC19 Trap spectroscopy of a κ=2.0 Low-κ dielectric [31] 将 来 の 課 題 と 方 向 性 ポーラス Low-κ 材 料 導 入 によるローカル 配 線 (MOL)の 絶 縁 信 頼 性 共 通 に 理 解 され 認 知 された 物 理 機 構 に 基 づいた 絶 縁 信 頼 性 の 温 度 電 圧 加 速 の 記 述 方 法 の 標 準 化 ( 試 験 条 件 構 造 他 ) 配 線 の 専 門 家 に 共 通 に 認 知 された 絶 縁 信 頼 性 の 性 能 指 標 信 頼 性 に 関 する 理 解 のアップデートとそれに 伴 うロードマップでの 仕 様 提 示 なぜなら 材 料 やイ ンテグレーション 方 法 の 変 化 が 激 しいため 例 としては 超 低 誘 電 率 (ultra-low-κ)とエアギャップ 自 己 組 織 化 単 分 子 膜 絶 縁 膜 の 電 気 伝 導 に 関 わる 電 気 的 に 活 性 な 欠 陥 の 特 定 異 なる 信 頼 性 現 象 の 相 互 作 用 の 検 討 (すなわち 金 属 / 絶 縁 膜 相 互 作 用 TSV/BEOL 相 互 作 用 ) 前 述 の 性 能 指 標 に 含 めるために 共 存 する 信 頼 性 要 因 の 配 線 寿 命 への 積 算 した 影 響 の 検 討 信 頼 性 の 観 点 からチップ パッケージ インタラクションの 研 究 と 解 析 チップ/システムレベルでの 絶 縁 信 頼 性 の 評 価 と 予 測 最 先 端 の 信 頼 性 モデルを 適 用 し 実 際 の IC で 動 作 しているアプリケーションから 導 出 したストレス 条 件 を 用 いることで システムレベルの 時 間 に 依 存 した 配 線 信 頼 性 解 析 の 仕 組 みを 作 ることができる エレクトロ マイグレーションと TDDB による 抵 抗 劣 化 と 遅 延 劣 化 のシステム 性 能 への 影 響 を 見 積 もることが 可 能 であ る このようなツールを 用 いて 設 計 者 はいつ 信 頼 性 劣 化 機 構 がタイミングのずれを 発 生 させ 始 め シス テムの 不 調 につながるかを 予 測 できる[28] このアプローチの 限 界 は 故 障 モードの 正 確 なモデルを 必 要 とするが すべての 信 頼 性 課 題 に 可 能 なわけではない システムレベルでは 実 際 の IC における 信

39 配 線 31 頼 性 劣 化 をモニタし その 劣 化 が 生 じている 回 路 部 分 の 動 作 を 減 らすことで 劣 化 を 抑 制 することが 理 論 的 には 可 能 である このアプローチは 劣 化 のダイナミクスが 遅 い 場 合 に 可 能 であり 故 障 モードの 正 確 なモデルに 依 存 しない このアプローチにおいては 回 路 レベルでモニタし 回 路 のアクティビティを 減 ら して 対 処 するために ゆっくりした 破 壊 モードが 適 している 材 料 本 来 の 信 頼 性 が Low-κ や ultra-low-κ 材 料 の 初 期 スクリーニングにおいて Low-κ や ultra-low-κ 材 料 に 限 界 を 与 える Low-κ 材 料 の 本 来 の 信 頼 性 を 正 確 に 評 価 することによって 外 的 な( 実 際 の 配 線 構 造 にインテグレーションした 時 に) 故 障 する 弱 い 候 補 を 除 くことができる 当 然 材 料 本 来 の 信 頼 性 試 験 をパスした 材 料 も 外 的 な 信 頼 性 試 験 を 同 様 にパスしなければならない 今 日 いくつかの 新 しい 配 線 のアプローチが 現 実 の 製 品 に 導 入 され 始 めている 例 えば インターポー ザ 配 線 や 3D IC である 一 般 に オンチップの 光 配 線 は 次 の 数 年 以 内 に 使 用 されることが 期 待 される そしてカーボンナノチューブとグラフェンのアプローチは まだもう 少 し 将 来 と 思 われる[33, 34] これらの アプローチに 対 してすべてのインテグレーション 方 法 を 知 ることは 時 期 が 早 過 ぎるし また 信 頼 性 評 価 を 完 全 に 行 うには 早 過 ぎるが 研 究 者 の 間 で 鍵 となる 考 察 のひとつとして 信 頼 性 の 要 求 を 代 替 配 線 プロセスや 設 計 の 選 択 において 使 うことが 極 めて 重 要 である 3.4 配 線 特 性 重 要 なメッセージ; かつてグローバル 配 線 にみられた 配 線 のボトルネックは 現 在 はローカルとインターミディエート( 中 間 ) 配 線 においても 影 響 すると 予 測 されている これはナノスケールの 断 面 によって 悪 化 したサイズ 効 果 によるも のであり スケーリングに 伴 い 配 線 抵 抗 の 劇 的 増 加 を 招 く ローカル 配 線 のばらつきは スケーリングと LELE (Litho-Etch Litho-Etch)といったマルチプルパターニン グ 技 術 の 導 入 によって 悪 化 している これらの 効 果 は IC 設 計 フェーズで 正 確 にモデリングする 必 要 がある IC 技 術 と 設 計 の 間 の 配 線 サイズ 効 果 やばらつき 信 頼 性 の 正 確 なモデリングを 含 む 密 な 相 互 関 係 が 特 性 における 全 体 のインパクトを 正 確 に 予 測 するための 喫 緊 の 課 題 になっている この 観 点 を 持 つことが スケーリングによる 性 能 劣 化 に 対 抗 する あるいは 軽 減 するために 重 要 になるであろう 序 論 近 い 将 来 の 配 線 技 術 (Cu 配 線 とLow-κ 絶 縁 膜 )が 次 世 代 技 術 のIC 性 能 要 求 に 合 致 し 続 けるための 適 切 さは 配 線 ネットワークにおいて 意 図 した 機 能 と Cu 配 線 の 製 造 に 用 いた 技 術 そしてシステムレベルで 採 用 した 設 計 方 法 論 によって 変 わる 要 求 は 信 号 伝 搬 とエネルギー 消 費 の 点 でますます 厳 しくなって いる この 点 において 配 線 のボトルネックは 歴 史 的 に 配 線 階 層 のグローバルレベルを 予 見 してきており 大 きく 長 い 配 線 はチップサイズの 信 号 接 続 を 果 たし 故 に 長 さがスケールせずRC 増 加 問 題 が 悪 化 して いる[1] リピータは さらなるチップ 面 積 とエネルギーの 消 費 という 対 価 と 引 き 換 えに 配 線 RC 遅 延 の2 次 効 果 を 減 らすために 使 われている[2] 一 方 で ローカルとインターミディエート 配 線 のスケーリングシナリ オは それらの 長 さを 含 む しかしながら 配 線 断 面 の 寸 法 が 既 に 今 日 では 数 十 ナノメートルレンジであり そのシナリオは サイズ 効 果 とばらつき 効 果 により 短 い 配 線 長 であっても 配 線 抵 抗 が 劇 的 に 増 加 すると 予 期 されている 値 にまで 減 少 することを 予 見 している したがって 重 大 な 制 限 が 配 線 ロードマップの 最 後 に 現 れており ローカルとインターミディエートレベルにおける 従 来 のCu/Low-κ 技 術 の 代 替 となる 新 規 配 線 技 術 という 新 しい 機 会 の 評 価 を 導 いている[3] 信 号 伝 送 配 線 抵 抗 と 容 量 の 影 響 ドライバ 配 線 負 荷 チェーンに 基 づく 平 均 的 なデジタル IC 信 号 パスでは 配 線 抵 抗 が 小 さく 動 作 周 波 数 が 数 GHz レンジであると 仮 定 し 信 号 速 度 はドライバ 負 荷 トランジスタと 配 線 の 抵 抗 と 容 量 によって 一 般

40 32 配 線 的 に 影 響 を 受 けている これは 単 純 化 した Figure INTC20 のスキームに 準 じ エルモア 遅 延 近 似 は 信 号 パスの 抵 抗 と 容 量 を 関 数 として 全 信 号 遅 延 を 見 積 もるために 導 出 されている Figure INTC20 Schematic representation of a typical interconnect path represented by driver, interconnect and load elements. The total delay has been estimated with the Elmore approximation. The interconnect contributions consists of linear and quadratic dependencies on the wire length Lw. 純 粋 な RC 配 線 遅 延 は 配 線 長 の 2 乗 の 依 存 性 を 持 っている 長 い 配 線 では それが 他 の 項 に 対 して 明 確 に 優 勢 になっている ローカルやインターミディエート 配 線 のような 短 い 配 線 では 配 線 抵 抗 は 無 視 で き 信 号 遅 延 の 配 線 寄 与 はドライバ 抵 抗 Rs と 配 線 容 量 Cw である 実 際 ローカルとインターミディエー ト 配 線 の 抵 抗 は 以 下 の 良 く 知 られているサイズ 効 果 のために スケーリングにより 無 視 できなくなってい る 1) 配 線 表 面 とグレイン 境 界 における 電 子 散 乱 [4]が 配 線 断 面 寸 法 の 削 減 により 配 線 抵 抗 を 増 加 さ せる これは この 効 果 を 部 分 的 に 減 らす 試 みとして スケーリングに 伴 い ITRS テーブルで 配 線 アスペクト 比 の 増 加 として 反 映 される 2) 銅 配 線 用 の 現 在 のバリアメタルの 厚 さは 最 新 のデポジション 技 術 [5]を 利 用 してもバリアデポジ ション 技 術 の 正 角 性 の 制 限 により 2nm よりも 削 減 することができない 配 線 断 面 では 信 号 電 流 が 流 れる 実 行 的 な 銅 面 積 の 割 合 がスケーリングとともに 減 少 する バリア 抵 抗 値 が 銅 よりもはるか に 高 いため 全 体 の 配 線 抵 抗 が 増 加 してしまう さらに 金 属 レベル 間 のビア 抵 抗 が ビアパターニングステップの 際 の 潜 在 的 なビアミスアライメントによっ て 配 線 パスの 抵 抗 をさらに 増 加 させるかもしれない ビアミスアライメントは 異 なる 金 属 レベルに 位 置 す る 同 じパスの 二 つの 配 線 のコンタクト 面 積 の 定 義 によって 減 少 し 信 頼 性 マージンの 深 刻 な 懸 念 [6]をも たらすのと 同 様 にパスの 抵 抗 を 増 加 させる これらのファクタの 組 み 合 わせは ローカルとインターミディエート 配 線 の 配 線 ボトルネックを 生 み 出 す 役 割 を 担 う これらの 言 及 した 問 題 を 緩 和 する 可 能 性 のある 解 決 策 の 調 査 と 確 認 は 産 業 界 の 優 先 事 項 とす べきである 他 の 遅 延 寄 与 すなわち 配 線 容 量 も スケーリングによって 負 の 影 響 を 及 ぼす 配 線 アスペクト 比 の 増 加 は 配 線 間 の 結 合 容 量 をわずかに 増 加 させ これによって 全 配 線 容 量 の 主 要 な 素 子 になる[7] この 問 題 は Low-κ 材 料 の 導 入 で 知 られた 問 題 によって 悪 化 する a) プロセスダメージと 断 面 スケーリング( 例 え ば Cu-Low-κ トレンチのサイドウォールダメージ)によりさらに 悪 化 する 多 孔 性 絶 縁 体 集 積 化 の 際 の 材 料 初 期 値 に 対 する κ の 増 加 [8] b) 配 線 アーキテクチャにおける 高 い κ 値 を 持 つ 絶 縁 材 料 の 存 在 例 えば

41 配 線 33 接 着 層 エッチストップやハードマスク 層 誘 電 体 バリアなどのため 全 配 線 容 量 の 削 減 に 対 する Low-κ 材 料 の 寄 与 がますます 重 要 ではなくなっている[9] クロストークと 雑 音 の 影 響 信 号 伝 搬 に 影 響 する 他 の 重 要 な 側 面 は 形 状 の 減 少 と 配 線 アスペクト 比 の 増 加 に 伴 う 配 線 結 合 容 量 の 増 加 に 関 係 する 配 線 クロストークと 雑 音 である これらの 効 果 はデジタルとアナログ 回 路 の 両 方 で 重 要 な 問 題 となっている[10] クロストークはシステム 性 能 の 予 知 不 可 能 さを 増 加 させることによって 遅 延 の 不 確 定 さを 招 く これらの 効 果 の 影 響 は 最 適 な 設 計 戦 略 によって 軽 減 できるため このような 事 情 を 考 慮 す べきである ばらつき 45nmテクノロジーノードの 始 まりから 配 線 システムは 全 体 の 回 路 性 能 に 影 響 を 及 ぼす 主 要 な 要 素 にな っている ローカルとインターミディエート 配 線 の 幅 は 数 十 ナノメートルである 配 線 寸 法 のあらゆる 小 さな 変 動 は 配 線 抵 抗 と 容 量 のより 大 きなばらつきを 招 く すべての 製 造 ばらつき(リソグラフィー エッチ CMP )の 組 み 合 わせは 配 線 幅 と 間 隔 高 さ プロファイル 金 属 組 成 (バリア/ 銅 比 率 )のばらつきと いう 結 果 になり 配 線 抵 抗 と 容 量 値 に 強 い 影 響 を 及 ぼす これは BEOLプロセスばらつきと クリティカ ルパスのタイミングにそれが 及 ぼす 影 響 について 深 刻 な 懸 念 をもたらす[11] マルチプルパターニング 技 術 の 影 響 最 新 のパターニング 技 術 は 現 在 はローカル 配 線 を 寸 法 スケーリングし 続 ける 唯 一 の 実 行 可 能 な 代 替 技 術 であるが 信 号 伝 搬 とクロストーク 問 題 をさらに 悪 化 させるかもしれない LELE といったマルチプルパ ターニング 技 術 は 配 線 端 のラフネス 以 外 の 新 しいローカルばらつき 問 題 [12]を 生 じさせるかもしれない 例 として ダブルパターニングアプローチの 奇 数 と 偶 数 の LELE 配 線 は a) 2 つの 分 かれたパターニング ステップ 間 の CD ばらつきにより 異 なる 配 線 断 面 構 造 を 有 する 恐 れや b) Figure INTC21 に 図 示 するよう に 最 初 のパターンに 対 して 2 回 目 にパターニングした 配 線 のアライメントのオーバーレイエラーのため に それらの 側 面 の 間 隔 が 等 しくない 恐 れがある R A R B R A R B C AB C BA C AB C BA Figure INTC21 Impact of LELE double patterning on parallel wires. Odd and even wires show different cross-section areas and different distances at each side from neighbouring wires. This causes an unbalance in wire resistances and in coupling capacitances.

42 34 配 線 問 題 a)は 隣 接 配 線 で 異 なる RC 遅 延 を 引 き 起 こし 問 題 b)は 同 じ 配 線 の 2 端 において 異 なるクロストー ク 結 合 を 引 き 起 こす[13] 並 列 配 線 とメモリアレイを 有 する IC スタンダードセルは これらの 配 線 アンバラ ンス 問 題 に 本 質 的 に 非 常 に 敏 感 である 回 路 性 能 における 記 述 した 問 題 の 影 響 は 回 路 アーキテクチャと 設 計 戦 略 にも 依 存 する したがって 設 計 と 技 術 コミュニティ 間 の 強 いコラボレーションは 将 来 の 技 術 ノードの 性 能 課 題 に 対 峙 する 唯 一 の 方 法 かもしれない エネルギー 消 費 配 線 容 量 の 影 響 隣 接 配 線 間 のリーク 電 流 が 無 視 できると 仮 定 すると デジタル IC 配 線 で 消 費 されるエネルギーは 要 求 される 論 理 電 圧 に 配 線 容 量 を 充 電 するために 必 要 である このエネルギーは 動 的 であり ~Cw*V 2 のよう に 配 線 容 量 に 依 存 する ここで V は 二 つのデジタルレベル 間 の 電 圧 スイングであり Cw はある 配 線 長 の 全 配 線 容 量 である[14] 動 的 エネルギーは Cw に 比 例 し これは 前 章 で 述 べたスケーリング 問 題 や 最 も 密 な 階 層 レベルの 容 量 結 合 によって 影 響 を 受 ける ローカルやインターミディエートレベルの 場 合 ゆえに 低 電 力 アプリケーションで 非 常 に 神 経 質 な 問 題 をもたらす 一 方 で 配 線 エネルギーの 削 減 は 2 乗 依 存 性 を 持 つ V を 減 らすことで 最 も 効 果 的 に 達 成 できる 残 念 ながら 電 圧 のスケーリングは 寸 法 の スケーリングと 同 じペースを 維 持 できない 電 力 分 配 抵 抗 とインダクタによる 電 圧 降 下 ITRS スケーリングシナリオでは 供 給 電 圧 V とチップあたりのラージデバイス 密 度 の 削 減 は 供 給 電 流 の 増 加 を 暗 示 しており これは 電 力 供 給 と 固 定 配 線 長 のバイアス 点 の 間 の 静 的 かつ 動 的 な 電 圧 低 下 の 増 加 を 招 く この 電 圧 降 下 は 抵 抗 の IR 効 果 (ローカル Vsupply 減 少 )と 誘 導 的 LdI/dt 効 果 (ローカル Vsupply 増 加 )の 両 方 を 引 き 起 こす 動 的 な 電 圧 降 下 は 同 時 に IC の 複 数 のゲートが 切 り 替 わる 時 に 発 生 するかもしれない ゆえに 全 体 の 供 給 電 流 が 一 時 的 に 増 加 し 結 果 として 電 圧 降 下 を 生 じる 結 果 と して トランジスタは 供 給 電 圧 の 静 的 動 的 ばらつきを 感 じ これがクリティカルパスの 信 号 伝 送 に 深 刻 な 影 響 を 与 え 機 能 不 全 という 結 果 になるかもしれない IR ドロップは スケーリングによる 導 体 断 面 削 減 に よる 配 線 抵 抗 増 加 と 結 果 として 生 じるサイズ 効 果 によって 悪 化 する これらの 電 力 伝 搬 の 問 題 は クリテ ィカル 電 力 ネットにファットワイヤーを 適 用 する あるいはデカップリング 容 量 を 入 れることで 軽 減 でき 後 者 はダイナミックな 電 圧 降 下 を 緩 和 する 場 合 に 役 立 つ[15] システムレベル 性 能 における 配 線 の 影 響 正 確 な 配 線 寄 生 素 子 モデリングの 重 要 性 前 の 章 で 述 べた 信 号 伝 搬 とエネルギー 消 費 電 力 分 配 で 予 見 される 潜 在 的 な 配 線 問 題 は 専 用 で 正 確 なモデリングと 正 確 な 技 術 モデリングとシステムレベル 観 点 を 含 むシミュレーションツールを 求 める 技 術 モデリングは 配 線 抵 抗 と 容 量 [16]の 正 確 な 寄 生 抽 出 のためのサイズ 効 果 とばらつき 効 果 を 考 慮 し 正 確 な 配 線 絶 縁 体 形 状 と 材 料 特 性 に 立 脚 すべきである 配 線 断 面 のさらなる 詳 細 は 配 線 モデルに 含 ま れる 必 要 がある 例 えば 理 想 的 な 直 角 の 断 面 構 造 の 代 わりに ワイヤー 表 面 の CMP ディッシングとイロ ージョン 効 果 を 含 む 現 実 的 なテーパー 形 状 CMP とエッチステップにより 生 じる 配 線 ばらつきの 上 部 ダ ブルパターニング 問 題 により 生 じるローカル 配 線 の 付 加 的 なばらつき 効 果 もモデルに 含 まれるべきである 回 路 速 度 とエネルギーにおけるこれらの 効 果 の 影 響 は ローカル 配 線 が 大 量 に 利 用 されるセルライブラリ の 特 性 化 から 評 価 されるべきだ 温 度 変 化 と 周 波 数 依 存 のパラメータもまた 推 定 されるべきである さら に 動 作 周 波 数 が 増 加 するほど クロック 信 号 の 非 常 に 短 い 立 ち 上 がり 時 間 が 信 号 伝 搬 で 無 視 できな い 役 割 を 担 う 配 線 の 寄 生 インダクタンスを 生 じさせるかもしれない インダクタンスを 無 視 することは オン

43 配 線 35 チップの 信 号 伝 送 を 推 定 する 際 に 不 正 確 さを 生 じさせるかもしれない 最 後 に EM のような 信 頼 性 情 報 もまた 種 類 場 所 状 況 そしてリスクが 高 い 配 線 パターンの 度 合 いを 決 定 するために 必 要 不 可 欠 で 設 計 目 標 のコスト 関 数 の 一 部 となりえる クリティカルパス システムレベルでは クリティカルパスが 一 般 的 に IC システムの 特 性 を 決 定 づける これらのパスは 送 信 回 路 配 線 受 信 回 路 入 出 力 抵 抗 や 容 量 など 固 有 の 電 気 特 性 を 持 った 部 品 からなる 全 体 のリンクを 考 慮 して 注 意 深 くシミュレーションされなければならない 配 線 の RC 遅 延 は 特 に 電 流 リターンパスと 寄 生 インダクタンスの 影 響 を 考 慮 すると[17] クリティカルパスの 特 性 を 正 確 に 評 価 するには 不 十 分 であ る もし 配 線 を 通 して 伝 搬 する 信 号 が 高 周 波 成 分 と 制 限 された 歪 の 点 で 厳 しい 要 求 を 持 つのであれば 高 周 波 の 振 る 舞 いは 考 慮 する 必 要 があるかもしれない マルチコアアーキテクチャの 利 点 システム 設 計 レベルでの 代 替 策 は 固 定 配 線 長 の 必 要 性 を 減 らすモジュラーアーキテクチャに 基 づく こ の 方 向 性 での 一 つの 最 近 のアプローチは 最 先 端 のマイクロプロセッサにおけるデュアルあるいはマル チコアアーキテクチャである マルチコアにおける 並 列 データー 処 理 が シングルコアの 高 性 能 プロセッ サと 比 較 して 同 等 あるいはそれ 以 上 の 性 能 を 低 いコア 周 波 数 と 消 費 電 力 で 可 能 とする マルチコア 戦 略 は 配 線 長 そして 配 線 容 量 動 作 周 波 数 の 低 減 を 可 能 にする あるタスクを 実 行 する 時 にマルチコア の 並 列 性 を 利 用 することで 周 波 数 と 電 圧 が 低 くても 実 行 可 能 となり それが 低 いダイナミックエネルギー 消 費 に 通 じる マルチコアアーキテクチャの 開 発 は チップ 上 のチップレベルネットワークを 通 じたコア 間 通 信 をサポートするため バンド 幅 の 広 い(すなわち C の 低 い) 新 しい 種 類 の 配 線 ニーズを 強 調 させる しかし このような 回 路 アーキテクチャの 大 きな 変 更 は 新 しい 設 計 ツールやソフトウエアを 必 要 とし 一 般 的 に 全 ての 設 計 に 使 えるわけではないというデメリットがある 革 命 的 な 解 決 策 としては [ 新 たな 配 線 ソリューション 章 ]で 記 述 するように 光 配 線 のような 異 なる 配 線 コンセプトがある 4 プロセスモジュール 4.1 絶 縁 膜 解 決 策 候 補 デュアルダマシンは Cu 配 線 構 造 を 形 成 する 上 で 広 く 使 われているプロセスである 特 に デュアルダマ シンは シングルダマシンに 比 べ 少 しのメタル 成 膜 と 平 坦 化 工 程 を 追 加 するだけであるが 1997 年 から 使 われてきた 導 電 体 材 料 に Cu を 使 うことへの 徹 底 的 な 検 討 と 開 発 が 進 められ 従 来 の 酸 化 膜 に 比 べ より 低 い 誘 電 率 (κ)の 絶 縁 膜 と 組 合 せることで 配 線 容 量 の 低 減 が 実 現 されてきている アドバンスト Low-κ 材 料 の 導 入 のペースは 量 産 コストと 信 頼 性 の 問 題 で 初 期 の ITRS の 予 測 よりスローダウンして いる Low-κ 材 料 は 主 に 配 線 内 / 配 線 間 絶 縁 膜 (ILD) 用 途 を 目 的 にしていたが 典 型 的 に 高 い κ 値 を 持 つ 他 の 絶 縁 膜 の 実 効 誘 電 率 への 影 響 が 増 大 してきている 実 効 誘 電 率 は バルク κ 値 の 減 少 に 比 例 して 下 がるわけではない さらに 相 対 的 に 誘 電 率 の 高 い 膜 層 の 薄 化 は すでに 可 能 な 限 り 薄 くなっているの で ILD よりますます 厳 しくなっていく 傾 向 にある 付 録 (Appendix)にある Figure A1 と A2 は 配 線 構 造 の 断 面 と 対 応 する 実 効 誘 電 率 を 示 している 伝 統 的 に 誘 電 率 の 最 も 高 い 層 は Cu の 拡 散 バリアである ILD の 上 層 で ポーラス Low-κ 膜 を CMP やプラズマ 成 膜 時 のダメージから 保 護 するのは 誘 電 率 の 高 い 膜 であったが エアギャップの 導 入 でこれらは 犠 牲 層 になる 膜 厚 と 拡 散 バリア 膜 のバルク κ 値 の 低 減 が RC 遅 延 の 抑 制 には 重 要 になる これに 加 えて 容 量 低 減 には 信 頼 性 向 上 も 含 めて 拡 散 防 止 膜 の 前 処 理 が 検 討 されている 配 線 /ビアホールのサイズ/スペースが 微 細 化 し エレクトロマイグレーション (EM)や TDDB が 劣 化 している 拡 散 防 止 膜 界 面 は 密 着 性 向 上 と 欠 陥 低 減 ダメージ 抑 制 などが 必 要 とされる

44 Effective Dielectric Constant; keff ITRS ITRS ITRS 配 線 ILD の κ 値 の 低 減 が 量 産 の 課 題 からスローダウンしている Low-κ 材 料 の 機 械 的 強 度 密 着 性 が 弱 く それらの 使 用 の 障 害 となっている CMP 時 の 膜 剥 がれとダメージは 初 期 には 大 きな 課 題 であったが 量 産 に 入 ると 実 装 工 程 で 与 えられるストレスに 耐 えるために 必 要 な 硬 度 と 密 着 性 を 実 現 しなければならな い ポーラス ULK(κ 2) 膜 のインテグレーションに 関 連 した 課 題 が 明 確 になり エアギャップ 技 術 は 前 回 の ITRS での 予 測 より 早 くに 導 入 される 見 込 みである プロセスデザインキットの 開 発 コストの 増 大 がゆえに いったんプロセス 技 術 を 構 築 すると 相 対 的 にマイ ナーな 変 更 のみがその 改 善 の 中 で 行 われる 将 来 的 には 新 たな 世 代 に 移 行 するときに 新 たな 材 料 が 導 入 される ILD のバルク κ 値 や 実 効 誘 電 率 のロードマップを Table INTC2 に 示 した 2007 年 版 からの Low-κ の スローダウンは 2008 年 アップデート 版 に 部 分 的 に 反 映 された 本 年 版 では この 傾 向 は Low-κ 開 発 の 遅 れにより 実 際 の 新 たな 技 術 導 入 のペースに 比 べ バルク Low-κ 材 料 の 候 補 をを 狭 め つつ 1 年 後 ろ 倒 しを 反 映 している(Figure INTC22 参 照 ) ITRS2009 ITRS2011, Calculated based on delay time using typical critical path Estimated by typical three kinds of low-k ILD structures Manufacturable solutions exist, and are being optimized 13 Manufacturable solutions are known Year of 1st Shipment Red Brick Wall (Solutions are NOT known) Figure INTC22 Low-κ Roadmap Progression メタル 前 層 間 絶 縁 膜 (PMD) メタル 前 層 間 絶 縁 膜 (PMD)のポテンシャルソリューション(Figure INTC24)は 大 幅 に 改 訂 された PSG ( 燐 ドープ 酸 化 膜 )や BPSG(ボロン 燐 ドープ 酸 化 膜 )はもはや 重 金 属 のゲッタリングには 使 われないた めに 候 補 から 消 えた Low-κ OSG MSQ や HSQ もまた MOSFET の 移 動 度 改 善 のために 使 われる high-κ ストレスライナーが PMD レベルでの 容 量 を 支 配 するために 候 補 ではなくなった κ 値 低 減 の 要 求 が 進 む 中 埋 め 込 み 能 力 の 要 求 が 一 層 重 要 になってきている 微 細 コンタクトを 均 一 に 形 成 するために トランジスタのサイドウォール 間 のスペースをボイドなく 埋 め 込 まれなければならない 通 常 の 方 法 とコンフォーマルな 成 膜 を 組 合 せることが 微 細 ピッチを 低 コストで 埋 め 込 むことを 可 能 にす る 方 法 である 熱 及 びプラズマ CVD 酸 化 膜 とその 平 坦 化 はもはや 充 分 ではなく またメモリの 容 量 を 稼 ぐのにも 最 も 効 果 があるとは 言 えず 結 果 として NAND フラッシュでは 三 次 元 スタックメモリセルが 報 告 されている[1, 2] これらのデバイスでは メモリセルのゲート 電 極 は 段 差 構 造 を 持 ち 大 きな 段 差 は メモ リセル 領 域 と 周 辺 回 路 領 域 の 形 成 時 にできてしまう このように 形 成 された 段 差 の 表 面 は ボイドの 発 生 なく 絶 縁 膜 で 埋 められ 各 ゲート 電 極 にコンタクトホールが 形 成 される このプロセスでは 通 常 の CVD

45 配 線 37 に 比 べ 遥 かにギャップ 埋 め 込 み 性 のよい SOD( 塗 布 絶 縁 膜 )が 必 須 である この 場 合 通 常 の 表 面 状 態 ではない 比 較 的 大 面 積 部 分 を 埋 め 込 むための 塗 布 の 条 件 が 検 討 されている SOD は CMP による 平 坦 化 への 耐 性 がなければならない 最 近 では CVD ベースのギャップフィル 技 術 への 興 味 を 更 新 する 新 しい 炭 素 フリーの 流 動 し 易 い CVD 膜 が 最 近 提 案 されている 従 来 の LOW-Κ ILD 最 大 の 変 更 は Al から Cu に 変 わったことで ダマシンプロセスが 配 線 形 成 のうえで 主 流 のプロセスにな ってきた ダマシンプロセスは 絶 縁 膜 中 のトレンチやビアを 埋 め 込 むのが Cu なので 絶 縁 膜 に 優 れた 段 差 埋 め 込 み 性 を 要 求 しない プラズマ CVD 酸 化 膜 は HDP の 酸 化 膜 よりギャップ 埋 め 込 み 性 は 劣 っ ているが Cu 配 線 の 当 初 から ILD 材 料 として 使 われてきた 上 層 の 数 層 配 線 では 主 に 電 源 やグランド ラインに 使 われるが 実 装 工 程 中 のクラックやはがれを 回 避 するための 高 い 機 械 的 強 度 を 有 することが 容 量 低 減 より 重 要 になっている コストのメリットがあるプラズマ CVD 酸 化 膜 は 厚 膜 の 層 に 使 用 され 続 けることになる 薄 い 配 線 層 からなる 下 層 の 何 層 かは 実 効 誘 電 率 を 下 げるのはまだ 厳 しい 状 況 にある 配 線 容 量 低 減 のために 多 くの Low-κ 材 料 が 層 間 / 層 内 の 絶 縁 膜 に 使 用 されているが Low-κ 材 料 の 機 械 的 あるいは 化 学 的 強 度 が 弱 いために そのインテグレーションにはまだ 多 くの 困 難 さがある これらの 材 料 特 性 の 更 なる 改 善 は 設 計 や 構 造 の 変 更 と 同 様 に ポーラス 絶 縁 膜 のインテグレーションにも 要 求 される 塗 布 絶 縁 膜 は CVD に 比 べ プリカーサへの 依 存 性 が 小 さいという 利 点 があり すなわち ひとつの 装 置 でポロジェンを 含 む 多 くの 材 料 を 扱 うことができる ポーラス 材 料 を 含 む 様 々な 塗 布 系 Low-κ 材 料 が 検 討 されているが プラズマ CVD の SiCOH が Low-κ ILD 膜 の 主 流 となっている ノンポーラスの 塗 布 系 材 料 は いくつかのケースを 除 き 使 われていない κ 値 2.4 以 上 の 塗 布 ポリマーと 塗 布 MSQ は 実 際 の ロジックやメモリに 使 われそうにはなく したがって 塗 布 系 材 料 はポーラス MSQ を 除 き ポテンシャルソリ ューションの 図 から 消 え 去 っている(Figure INTC24 参 照 ) 急 速 なポーラス Low-κ ILD 材 料 の 採 用 による 実 効 誘 電 率 の 低 減 のため エッチング CMP ポーラス ILD 層 上 への 成 膜 などのプロセスインテグレーションの 課 題 に 取 り 組 まねばならない ポーラス ILD のリ ソグラフィーは 通 常 レジスト 塗 布 の 均 一 性 確 保 とレジジス 除 去 時 のダメージ 防 止 のために 緻 密 な 膜 が 必 要 である 酸 化 膜 はこのハードマスクとして 幅 広 く 使 われてきた しかしながら Low-κ ILD はハードマ スクデポの 初 期 に 段 階 で 活 性 な 酸 素 によりダメージを 受 ける ハードマスクとダメージ 層 は 特 に 層 間 で 容 量 低 下 のために 除 去 しなければならない それらの 層 は プロセスステップ 数 を 抑 えるために バリアメ タルが 除 去 された 後 の CMP で 除 去 されるべきである しかしながら ポーラス Low-κ 材 を CMP 雰 囲 気 に 晒 してしまう κ 値 が 2.3 未 満 の ULK ILD 材 料 に 対 しては CMP スラリーや 洗 浄 工 程 からのダメージ による κ 値 の 増 大 を 最 小 に 抑 えることが 低 実 効 誘 電 率 配 線 形 成 の 成 功 への 鍵 となる トレンチやビアホール 形 成 のためのドライエッチングやレジスト 剥 離 も Low-κ ILD にダメージを 与 える レ ジスト 剥 離 からのダメージを 最 小 化 するために ビアファースト 3 層 スキームがトレンチファースト TiN ハ ードマスク(HM)を 用 いたデュアルダマシン 形 成 プロセスに 置 き 換 わってきている 活 性 種 によるダメージ を 最 小 化 するために クローズドポア ポーラス Low-κ 材 料 が 熱 心 に 検 討 されている κ 値 が 2.3 未 満 の ULK ILD に 対 しては あらゆる 工 程 のダメージが 増 幅 され パッケージ 工 程 との 整 合 性 (つまり 高 い 機 械 強 度 )を 取 る 必 要 性 が 生 じ ダメージ 耐 性 の 高 い 材 料 開 発 の 自 由 度 を 制 限 する こうして κ 値 が 2.3 未 満 の ULK 材 料 をパッケージング 時 の 強 度 を 確 保 しながらインテグレーション 出 来 る κ 値 回 復 技 術 が 益 々 重 要 になっている ポーラスあるいは ULK 膜 の 形 成 には ポロジェンの 分 解 や 揮 発 機 械 的 強 度 を 高 める 化 学 結 合 の 架 橋 などの 適 切 なキュア 技 術 が 要 求 される 紫 外 線 電 子 ビームのアシストは 低 温 キュアプロセスに 共 通 し て 使 われてきたが 多 層 積 層 薄 膜 配 線 への 適 用 に 当 たって コスト 効 果 や 下 地 への 影 響 は 厳 しい 展 望 を もたらしている キュアプロセスのアシストによって SOD 材 料 が 現 実 的 な 解 となる 可 能 性 がある しかし ながら PE(プラズマ 増 速 )CVD はキュアシステムをクラスターツールへ 組 み 込 み 易 いメリットがあり κ 値 が 2.2 以 上 の Low-κ 材 料 に 対 しては 今 では 紫 外 線 キュアが 普 及 している

46 38 配 線 凄 まじい 努 力 にも 拘 わらず ILD のバルク κ 値 を 下 げても κ 値 が 2.0 を 下 回 るや 否 や インテグレーショ ンにおける 機 械 的 特 性 やポーラス Low-κ 材 料 のプラズマダメージのために 実 効 誘 電 率 は 充 分 に 下 げられないという 広 いコンセンサスが 形 成 されている κ 値 2.0 未 満 の ULK 材 料 は Emerging Research Materials の 章 で 論 じられている 実 効 誘 電 率 を 下 げる 異 なる 設 計 構 造 上 ( 材 料 とは 対 照 に)のアプロー チは( 以 下 に 示 すように) ILD 層 にエアギャップを 導 入 することである エアギャップ ポーラス Low-κ 材 料 は 弱 いインテグレーション 強 度 で プラズマエッチングからのダメージに 耐 えねば ならない κ 値 2.0 以 下 のポーラス Low-κ 材 料 では 特 に 難 しいと 考 えられる ULK 材 料 からエアギャップ に 徐 々に 移 行 することは いまや 現 実 となる 可 能 性 が 高 い Low-κ 材 料 とエアギャップのハイブリッド 構 造 は 近 い 将 来 に 実 効 誘 電 率 を 下 げるのに 最 も 現 実 的 な 解 になるであろう 現 実 では NAND フラッシュ メモリにおいてタングステン 配 線 の 線 間 容 量 の 低 減 に SiO 2 を 用 いたエアギャップ 構 造 が 広 く 用 いられて いる 配 線 へのエアギャップ 構 造 の 導 入 は 次 の 10 年 の 半 導 体 デバイス 製 造 において 最 も 顕 著 な 挑 戦 項 目 のひとつになるであろう エアギャップ 形 成 にはいくつかのインテグレーションの 方 法 や 構 造 が 報 告 され ており 最 も 現 実 的 なものが Figure INTC23 に 示 されている それらはギャップの 形 成 が 上 層 メタル 形 成 の 前 後 かで 二 つのカテゴリーに 分 類 される Cu ダマシン 配 線 構 造 へエアギャップをインテグレーション するために Cu の CMP がギャップのない 状 況 で 行 えるように メタル 配 線 間 の 犠 牲 材 料 層 は 除 去 されな ければならない エアギャップが 上 層 メタル 形 成 の 前 に 行 われる 方 法 では 犠 牲 層 の 部 分 は CMP 後 に 除 去 され エアギ ャップが 埋 め 込 み 性 の 劣 る 絶 縁 膜 成 膜 により 形 成 される[3, 4] 除 去 された 部 分 は 主 に 犠 牲 材 料 からな る ギャップの 形 状 は 成 膜 のコンフォーマリティーとメタル 配 線 のアスペクト 比 と 配 線 間 スペース 幅 により 決 まる ほとんどの 層 間 絶 縁 膜 形 成 時 のギャップ 形 成 の 場 合 エアギャップは 狭 い 配 線 間 スペースを 持 つ 領 域 に 形 成 されるが 絶 縁 膜 はスペース 幅 が 広 い 領 域 にも 成 膜 される これにより パターンの 疎 密 領 域 で ILD の 総 厚 さが 異 なることになり 平 坦 化 工 程 を 必 要 とする 他 の 方 式 では ドライエッチングのトレ ンチ 側 壁 へのダメージを 利 用 する 上 層 配 線 形 成 前 の 均 一 なギャップ 形 成 は 微 細 ピッチの 配 線 におい て 重 大 なミスアライメントの 課 題 を 抱 えている ミスアライメントのビアは メタル 配 線 上 には 存 在 しない ビア 開 口 がエアギャップ 領 域 であれば それに 適 したバリアメタル 形 成 や Cu の 埋 め 込 みはあり 得 ない エアギャップ 形 成 から 上 層 のビア 周 辺 をビア 禁 止 領 域 とする 方 法 もあるが 余 分 なリソ 工 程 を 含 むなどプ ロセスステップの 増 加 によるコスト 高 となる 上 層 配 線 形 成 後 にエアギャップをインテグレーションする 方 法 では ギャップ 形 成 前 のメタライゼーション でビアはメタルで 埋 められており ミスアライメントの 心 配 がない[5-7] 多 層 の 犠 牲 部 分 を 除 去 することは プロセスステップ 数 を 最 小 化 する 点 でも 望 ましい この 方 法 で 使 用 される 除 去 プロセスは チップ 全 体 の 機 械 的 強 度 を 劣 化 させるような 大 きなギャップを 形 成 する 機 械 的 強 度 を 満 足 するエアギャップ 構 造 開 発 のために 絶 え 間 ない 努 力 が 必 要 とされ 最 小 のステップで 構 成 されねばならない

47 配 線 39 Process Schematic (Dis)advantages CVD gap process T.Harada et al. (IITC2006 ) Gap formation by removing sacrificial material R.Daamen et al. (IITC2007 ) Gap Gap Process step increase Mechanical strength Borderless capability Process step increase Mechanical strength Borderless capability Additional lithography and removal process steps for each wire level Air-gap region can be defined by lithography No Cu-filling capability due to via to undermetal misalignment Minimal process step increase by all-in-one post-removing process Poor mechanical strength by air-gap formation in a whole wafer Not sensitive to via to under-metal misalignment A realistic air-gap formation process should be proposed with minimal process step increase, maintained mechanical strength and sufficient borderless-via capability. Figure INTC23 Typical Air-Gap Integration Schemes 拡 散 防 止 絶 縁 膜 拡 散 防 止 絶 縁 膜 は 通 常 の Cu 配 線 構 造 では 最 も 高 い κ 値 を 持 つのが 常 である 従 来 の Cu ダマシンプ ロセスフローでは Cu 配 線 の 底 部 や 側 壁 は Cu シード 層 の 前 に 形 成 されるバリアメタルで 覆 われている CMP 後 には Cu 配 線 の 上 部 のみが 拡 散 防 止 絶 縁 膜 で 覆 われている 拡 散 防 止 膜 はピンホール 欠 陥 が なく ビア 形 成 時 のエッチストップ 層 の 役 目 も 必 要 である これらの 層 については 2007ITRS の ビアエッ チストップ 層 の 項 を 参 照 されたい SiN(シリコン 窒 化 膜 )は κ 値 が 6 を 超 えるが プラズマ CVD の ILD とともに Cu 配 線 の 初 期 の 頃 の 拡 散 防 止 絶 縁 膜 として 採 用 された κ 値 が 4 と 5 の 間 の SiC SiCN SiCO [8]などの Low-κ ILD 材 料 が 導 入 され 実 効 誘 電 率 の 低 減 のために 拡 散 防 止 絶 縁 膜 として 使 用 されている しかし これらの Low-κ (κ<5.0) 拡 散 防 止 絶 縁 膜 は Low-κ(κ<3.0) 材 料 に 必 要 な UV キュアによる 膜 応 力 変 化 やリーク 増 加 の 課 題 がある ILD 層 の 厚 さが 薄 くなると 拡 散 防 止 膜 の κ 値 の 実 効 誘 電 率 への 相 対 的 な 寄 与 は 大 きくな る 今 日 より κ 値 の 低 い 拡 散 防 止 膜 の 代 替 物 は 用 いられていないが 拡 散 防 止 膜 の 薄 膜 化 と κ 値 の 低 減 は 信 頼 性 の 劣 化 がない 前 提 で 厳 しく 要 求 されている メタルキャッププロセスは Cu 配 線 上 に 選 択 的 にメタルバリアが 成 長 するもので Low-κ ILD と Cu 配 線 間 に 拡 散 防 止 膜 の 挿 入 を 割 愛 できる 可 能 性 を 提 供 する メタルキャッププロセスには 選 択 性 が 不 完 全 な ために その 遷 移 の 時 期 には キャップメタルと 拡 散 防 止 絶 縁 膜 が 共 存 するが そのような 冗 長 な 組 合 せ は 最 終 的 にはコスト 手 減 のために 回 避 される 同 じく 拡 散 防 止 膜 である 選 択 メタルキャッププロセスは 実 現 できておらず 未 だ 研 究 段 階 にある 拡 散 防 止 キャップ 絶 縁 膜 拡 散 防 止 膜 と Cu 配 線 の 上 部 の 界 面 はダマシン Cu 配 線 の 信 頼 性 に 直 接 影 響 する[9] メタル 間 の 最 小 線 幅 は 通 常 Cu 配 線 とビアの 界 面 付 近 でミスアライメントを 呈 する 時 間 依 存 絶 縁 破 壊 (TDDB)とエレク トロマイグレーションの 信 頼 性 は 界 面 の 清 浄 度 に 強 く 影 響 される エレクトロマイグレーションの 支 配 的

48 40 配 線 なパスは バリアメタルに 覆 われていない Cu 配 線 の 界 面 にそっている より 良 い 界 面 形 成 の 要 求 は メタ ル 線 幅 とスペースが 狭 くなるにつれてより 厳 しくなり EM と TDDB 寿 命 が 短 くなる 無 電 解 CoWP を 用 いたメタルキャップは Cu 上 の 絶 縁 膜 バリアという 通 常 の 構 造 に 比 べてより 長 い EM 寿 命 を 与 えることがわかっている キャップメタルは Cu 配 線 上 に 選 択 的 に 成 長 し 配 線 とビア 底 間 に 強 力 なメタル 接 続 を 形 成 するキャップメタルは リーク 電 流 防 止 や TDDB のために ファインピッチの Cu 配 線 にほとんど 完 璧 に 成 長 させなければならない 選 択 性 はメタル 間 の 絶 縁 膜 の 前 洗 浄 や 後 洗 浄 により 向 上 できる しかし これはコスト 増 に 繋 がり 洗 浄 プロセス 自 身 もまた 選 択 性 の 問 題 がある Cu 合 金 やバ リアメタルの 最 適 化 による EM 寿 命 の 向 上 が 研 究 されている いくつかのメタル 材 料 が 考 えられるが Cu 配 線 の 抵 抗 を 上 昇 させてしまう 傾 向 にある 費 用 対 効 果 の 良 い 最 適 解 にいたるためには 弛 まぬ 検 討 と 開 発 が 必 要 である より 良 い 界 面 の 特 性 を 与 える 他 のプロセスは 拡 散 防 止 絶 縁 膜 形 成 前 の Cu の 前 処 理 である モノシラン とアンモニアのプラズマによる In-situ の CuSiN 形 成 は 拡 散 防 止 絶 縁 膜 形 成 と 同 じ 装 置 で 行 われるが TDDB の 劣 化 がなく かつ より 長 い EM 寿 命 をもたらす[10] Cu 配 線 の 抵 抗 はシリコンの 拡 散 条 件 に 依 存 するが シリコンへの 暴 露 と 窒 化 は 注 意 深 い 制 御 が 必 要 である 最 近 になって モノシランに 替 わって ゲルマンを 用 いた CuGeN の 形 成 が 報 告 された[11] CuGeN の 抵 抗 は CuSiN より 制 御 しやすい モノシ ランやゲルマンのソースは アンモニアとの 組 合 せにより 量 産 に 適 したプロセスになる しかし 別 の 材 料 を 用 いた 他 の 強 力 な 処 理 プロセスがある 可 能 性 も 残 っている 最 近 報 告 された 不 純 物 金 属 ドーピングによ る Cu のマイグレーションを 防 ぐための 前 処 理 もまた 高 信 頼 の 界 面 形 成 のためのポテンシャルソリューシ ョンである[12] TDDB 劣 化 や 抵 抗 増 大 なく EM 信 頼 性 が 30 倍 改 善 されるという CVD による 選 択 Co キ ャップのような 異 なるアプローチが 最 近 報 告 されている[13] RC 遅 延 の 影 響 を 最 小 (<5%)に 抑 えられ 最 小 限 のコスト 増 で 同 等 の TDDB 信 頼 性 と 生 産 性 を 確 保 でき 求 められる EM 信 頼 性 を 提 供 できる 優 れたキャッピングプロセススキームが 必 要 である

49 配 線 41 First Year of IC Production PRE-METAL DIELECTRIC (PMD) HDP silicon dioxide (к = 4.2) SA CVD (к = 4.5) INTER/INTRA LAYER DIELECTRIC (ILD) PECVD silicon oxide (к ~ 4) PECVD SiCOH (2.8 к 3.2) PECVD porous SiCOH (2.4 к 2.7) PECVD ultra-porous SiCOH (2.0 к 2.3) Spin-on porous MSQ (2.0 к 2.3) Altanative air-gap (к 2.0) DIFFUSON BARRIER DIELECTRIC CVD silicon carbide (к > 3.5) CVD silicon carbide (к 3.5) PECVD SiCOH (к 3.5) Spin-on porous MSQ (2.4 к 2.7) CAPPING BARRIER DIELECTRIC CuSiN or CuGeN Alternative treatment on Cu before diffusion barrier deposition This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC24 Dielectric Potential Solutions

50 42 配 線 4.2 拡 散 防 止 (バリア) 膜 解 決 策 候 補 タングステン(W)のローカル 配 線 及 び 埋 め 込 みコンタクトに 対 するバリア 材 料 としては Ti/TiN [1]が 当 面 の 間 継 続 して 使 用 されるだろう 長 距 離 PVD イオン 化 PVD CVD のように 既 に 確 立 している 技 術 は DRAM の 高 アスペクトコンタクトをキーホール 無 しで 埋 め 込 む W のために 継 続 的 に 改 良 がなされる 現 在 ALD(Atomic Layer Deposition)による Ti/TiN が 開 発 中 であり これを 用 いることで W 埋 め 込 みを 困 難 にするコンタクトホール 上 部 でのピンチオフをなくすことができ Wの 埋 め 込 み 性 能 向 上 が 期 待 でき る Ti/TiN バリア 膜 は 技 術 的 に 改 善 されてはいるが 膜 厚 の 要 求 値 と 高 い 抵 抗 率 のため コンタクトプラ グ 抵 抗 が 高 くなってしまう 要 素 の 最 大 のものであろう コンタクトプラグへの ALD バリアの 開 発 は 進 行 中 であり Ti/TiN に 対 してバリア 抵 抗 と 膜 厚 の 両 方 を 低 下 できるようになる その 結 果 コンタクトプラグ 抵 抗 のうちバリアメタルが 占 める 分 を 低 下 させることができる 高 アスペクトコンタクトに 対 する 新 規 材 料 や 埋 め 込 み 技 術 の 探 索 も 進 められており これにともない 現 状 の コンタクト/バリア/ 導 電 膜 という 複 雑 な 構 造 は よりシンプルなものになっていくだろう TiN や WN の 本 来 の 目 的 は W-CVD のプリカーサである WF 6による Ti と F の 反 応 の 防 止 であることから フッ 素 を 含 まないプリカーサを 用 いれば バリア 膜 を 完 全 になくすことが 可 能 になる コンタクトスタッドに W に 換 えて Cu を 使 用 することも 検 討 されている この 場 合 従 来 の PVD での TaN/Ta [2] Ti [3] Ru もしくは ALD 膜 がバリア 膜 として 使 用 される Cu 配 線 に 使 用 される 拡 散 防 止 材 料 は 隣 接 する 酸 化 膜 への Cu の 拡 散 を 防 止 するためのみではなく 界 面 での Cu 配 線 の 空 孔 拡 散 を 低 減 し 十 分 なエレクトロマイグレーション 耐 性 を 確 保 させるような 高 品 質 な ものでなくてはならない TaN/Ta [2]は 工 業 的 に 主 流 な 材 料 であるが その 他 の 窒 化 物 やシリコン 窒 化 物 も 有 望 な 材 料 である イオン 化 PVD や CVD による 膜 形 成 は( 長 距 離 スパッタは 過 去 のものとなった) 将 来 のデュアルダマシン 構 造 の 側 壁 への 被 覆 性 に 対 する 要 求 にこたえるために 改 良 され 続 けている 事 実 イオン 化 PVD [4] の 技 術 は 改 善 されて 14nm 世 代 まで 使 われ 続 けるであろう 加 えて ロジック 製 品 の 上 層 グローバル 配 線 の 最 小 寸 法 とアスペクト 比 は 将 来 もほとんど 変 更 されないため PVD バリア 技 術 が 使 用 され 続 ける しかしながらこれらの PVD 成 膜 技 術 では デュアルダマシンの 溝 の 上 部 を 狭 めてし まうため Cu の 電 気 めっきによる 埋 め 込 み 性 に 限 界 がある 将 来 主 流 となる 解 決 策 として 期 待 される ALD バリア[5-8]の 開 発 に 非 常 に 多 くの 努 力 が 払 われている ALD の TaN が 開 発 されはじめているが エレクトロマイグレーション 特 性 が 十 分 に 得 られるのかどうか Cu との 界 面 状 態 について 疑 問 が 残 る 解 決 策 候 補 のひとつとして 電 気 めっき Cu との 良 好 な 界 面 状 態 を 得 るために PVD Cu の 上 に PVD Ta のごく 薄 い 層 を 形 成 する 方 法 がある ALD の Ru はその 上 に 直 接 電 気 めっき Cu を 成 膜 することが 可 能 で 界 面 状 態 も 良 好 だが バリア 性 については 疑 わしい さらに 進 んだ 解 決 策 候 補 は ALD TaN / ALD Ru の 2 層 構 造 バリアメタルである ALD バリア 膜 を 採 用 するた めの 最 も 大 きな 問 題 点 は ALD 膜 形 成 の 材 料 であるプリカーサ 原 料 が 多 孔 質 Low-κ 膜 内 のポアへ 浸 透 することである 低 誘 電 率 膜 の 側 面 をチャンバ 内 で 改 質 処 理 をすることによってこの 問 題 を 解 決 できる かもしれない ALD バリアのもうひとつの 問 題 点 は 低 いスループットである これは PVD バリアシード に 対 して ALD バリアシード 技 術 に 必 要 とされるフロアスペースと CoO の 増 加 をもたらすことになる Cu 配 線 技 術 のひとつの 有 望 な 開 発 として 自 己 形 成 バリア 特 に Cu-Mn 合 金 がある[9] このプロセス では PVD Cu-Mn 合 金 シード 層 を 使 用 することで PVD バリアを 除 去 できる 電 気 めっき Cu 成 膜 後 に アニールすることで Cu 表 面 に Mn が 拡 散 し 薄 膜 バリアを 形 成 する アニール 後 の Cu の 最 上 面 に 析 出 した Mn は CMP 工 程 で 除 去 される このプロセスのもうひとつの 優 位 点 は Mn が 下 層 ビア 領 域 にバリ アを 形 成 しないことである その 結 果 ビアは Cu-Cu 接 続 となり 非 常 に 低 抵 抗 となる メタルバリアのもうひとつの 注 目 点 は Cu 配 線 の 上 側 界 面 である この 目 的 のためには 主 として Si 3N 4 SiCN SiC のような プラズマ CVD による 絶 縁 膜 バリアが 使 用 されている これら 絶 縁 膜 の 不 利 な 点 は エレクトロマイグレーションを 劣 化 させること 及 び 誘 電 率 が 高 いため 実 効 誘 電 率 が 高 くなってしまうこと である エレクトロマイグレーション 耐 性 改 善 のために W [10] CoWP [11] CVD Co [12] CVD Ru [13] 等 のような 選 択 成 膜 可 能 な 金 属 材 料 の 探 索 が 進 められ 今 日 では 製 造 工 程 への 採 用 もみられる キャッ ププロセスのもうひとつの 有 力 な 候 補 は Cu との 上 面 界 面 への CuSiN 薄 膜 の 形 成 である[14] これは

51 配 線 43 Cu 表 面 を SiH 4 と NH 3 で 連 続 的 にさらすことにより 達 成 される エレクトロマイグレーション 耐 性 の 改 善 は CoWP を 使 った 場 合 より 大 きくないが メタル 短 絡 やリークによる 信 頼 性 悪 化 を 減 少 させることができる 次 世 代 向 けのバリア 材 料 と 成 膜 技 術 の 研 究 開 発 をさらに 行 っていく 必 要 がある バリア 膜 と Cu との 界 面 での 格 子 不 一 致 のような 性 質 や 平 坦 性 を 改 善 することで 電 子 衝 突 効 果 による Cu 配 線 の 抵 抗 上 昇 を 抑 制 する 事 ができるだろう エレクトロマイグレーションと 抵 抗 率 上 昇 を 同 時 に 抑 えながら 開 発 する 事 が 必 要 である

52 44 配 線 First Year of IC Production Lo gic 1/ 2 P itch Metal 0/Contact plug: Barrier nm nm nm nm nm nm nm ALD-Ti/TiN for W-plug TaN/Ta, Ta, Ti, Stacked Ru barriers for alternative conductors Metal 1, Intermediate wiring: Barrier Continuous improvement of ionized PVD TaN/Ta, Ta Continuous improvement of self formed/restored barriers: MnSiO etc TaN, TiN,Ti (ALD) This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC25 Barrier Potential Solutions

53 4.3 膜 成 長 核 形 成 解 決 策 候 補 配 線 45 膜 成 長 核 形 成 層 の 微 細 構 造 部 での 均 一 性 とカバレジの 良 し 悪 しは その 次 の 導 体 金 属 の 埋 め 込 みがボ イド 無 くできるかどうかの 決 定 的 な 要 因 である ローカル 配 線 やコンタクト 埋 め 込 みにおいては 従 来 から 高 アスペクト 比 コンタクトへの W 埋 め 込 みを 可 能 としてきた ALD-W による 成 長 核 形 成 の 継 続 的 改 善 が なされるであろう これらの ALD 核 形 成 層 は 通 常 非 常 に 薄 くなければならず それによりプラグの 伝 導 率 は 一 般 に 改 善 されることになる コンタクトプラグの W の 代 替 候 補 としては 電 気 めっき Cu があげられる Cu の 核 形 成 層 [2]としては ALD や CVD で 成 膜 される Ru [1]や Co が 候 補 である DRAM の 高 アスペクトコンタクト 埋 め 込 みでの 新 たな 材 料 とプロセスの 開 発 は 依 然 として 継 続 中 であり これらに 関 しても ALD 成 長 核 形 成 は 必 要 となる であろう メタル 1 や 中 間 層 配 線 グローバル 配 線 では 各 種 のイオン 化 PVD(ロングスローPVD と 組 み 合 わされることもある)などの 改 善 型 PVD Cu [3]が Cu 電 解 めっきプロセスの 成 長 核 形 成 技 術 として 今 後 とも 主 要 なものとなる これらの Cu 核 形 成 技 術 の 改 善 により 側 壁 カバレッジや 均 一 性 が 向 上 しており 14nm 世 代 まで 使 えるであろう さらに PVD による Cu 成 長 核 形 成 は より 大 きな 寸 法 のグローバル 配 線 では 引 き 続 き 使 用 されるであろう しかしながら これらの 改 善 型 PVD もいつかはメタル 1 や 中 間 層 配 線 での 信 頼 度 の 高 い 成 長 核 形 成 層 を 提 供 出 来 なくなり やがては ALD( 水 素 還 元 Cu 成 膜 技 術 を 含 む)に 取 って 代 わられるだろう また 無 電 解 めっき[4] ALD エレクトログラフト Cu 技 術 [5] などを 含 む 複 数 の Cu 成 長 核 形 成 の 研 究 が 続 けられるであろう ALD Ru [6]は Cu 拡 散 に 対 して 僅 かしかバリア 性 を 持 たな いが Cu 電 解 めっきに 対 して 大 変 良 好 な 成 長 核 形 成 層 であることが 実 証 されている このため ALD TaN などの 他 のバリア 膜 と 組 み 合 わせて 用 いられるものとみられる PVD Cu の 側 壁 カバレッジが 限 界 で あることに 対 する 別 の 解 決 策 としては 電 解 めっきを 用 いた 成 長 核 層 のリペア 技 術 [7]がある 更 に よりス マートな 解 決 策 は 電 解 めっきプロセスやバリア 膜 を 改 善 して 自 己 核 形 成 が 可 能 になるようにし Cu 成 長 核 形 成 膜 を 不 要 とすることである

54 46 配 線 First Year of IC Production Lo gic 1/ 2 P itch Metal 0/Contact plug Liner/Nucleation ALD/CVD Ru, Co for Cu plug nm nm nm nm nm nm nm E-less or plated Cu seed Metal 1, Intermediate wiring Seed/Liner/Nucleation CVD(ALD)-Co, Ru for Cu-w ettability improvement or direct plating E-less or plated Cu seed CVD or ALD Cu seed Modified-ECD, self-nucleation This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC26 Nucleation Potential Solutions

55 4.4 導 電 体 膜 解 決 策 候 補 配 線 47 M0(メタルゼロ) とよばれることもあるローカル 配 線 は 隣 接 するトランジスタを 接 続 するための 極 めて 短 い 配 線 に 限 定 される MPU ASIC DRAM 等 のコンタクトとローカル 配 線 については タングステン(W) が 引 き 続 き 使 用 されるだろう ALD 技 術 は CVD と 組 み 合 わせて シームのない W 埋 め 込 みのために まず 利 用 されるようになっている また W-CVD では 通 常 シランによる 核 生 成 ステップが 用 いられるが こ れで 形 成 される Si リッチな W がプラグ 内 に 占 める 割 合 が 高 くなるため 将 来 の 技 術 世 代 においては コンタクト 抵 抗 が 許 容 できないほど 上 昇 してしまうことになるだろう この Si リッチ 層 を 極 小 化 するか この 層 をなくすことが 目 標 となる W のコンタクトプラグとの 置 き 換 えとなる 材 料 とプロセスとして 電 気 めっき Cu [1]が 検 討 されている これらは superfilling (ボトムアップで 膜 が 成 長 し シーム 形 成 の 懸 念 がない) 挙 動 を 示 す スタックキャパシタ DRAM における 高 アスペクトコンタクトの W 埋 め 込 みのためには ALD による W 成 膜 の 継 続 的 な 開 発 が 必 要 である 最 終 的 には スタックキャパシタ DRAM のコンタクトに 対 する 長 期 的 な 要 求 に 応 えるために 新 材 料 新 技 術 の 開 発 が 必 要 である MPU や ASIC のメタル 1 配 線 および 中 間 層 の 配 線 としては Cu 配 線 を 用 いるのが 望 ましく めっきによ る 成 膜 技 術 が 近 い 将 来 の 市 場 においてもなお 支 配 的 だろう[2-4] シームのない 埋 め 込 みやより 高 いアス ペクト 比 に 対 応 するために 電 気 めっき 技 術 および 装 置 の 改 良 が 継 続 的 になされる Cu CVD/ALD も 微 細 化 に 向 けて 必 要 な 技 術 である[5, 6] 通 常 の Cu の 熱 負 荷 においても 微 小 なダマシン 配 線 中 のめ っき Cu を 優 れたエレクトロマイグレーション 耐 性 に 要 求 される 大 きなグレインの 竹 状 構 造 に 変 えるのが 困 難 になってきている 事 が 報 告 されている その 結 果 表 面 拡 散 と 同 様 に Cu の 結 晶 粒 界 は 将 来 にエ レクトロマイグレーションの 潜 在 的 不 良 モードとして 考 慮 されなければならない Cu 配 線 のエレクトロマイ グレーション 耐 性 を 改 善 する 潜 在 的 解 決 策 は Cu-Al [7]や Cu-Ti [8]などの Cu 合 金 を 使 うことである 合 金 元 素 は PVD Cu 合 金 シード 層 を 使 うことで 採 用 され その 後 めっき 後 のアニールで 配 線 全 体 に 拡 散 する Cu-Al 合 金 と 界 面 の 絶 縁 体 Cap を 共 に 使 用 することで エレクトロマイグレーション 耐 性 を 50 倍 改 善 できる 結 果 が 得 られている 純 金 属 配 線 と 比 較 して 合 金 を 使 用 することで 抵 抗 率 の 増 加 がみられる MPU や ASIC に 用 いられるメタル 1 配 線 および 中 間 層 の 最 小 幅 の Cu 配 線 には 電 子 散 乱 による 抵 抗 増 加 がすでに 起 こっている[9-11] しかし これらの 配 線 の 配 線 長 は 技 術 世 代 に 応 じて 短 くなっているた め 影 響 は 最 小 限 に 抑 えられている グローバル 配 線 のレベルでは はるかに 幅 広 い 配 線 が 用 いられる ため サイズ 効 果 の 影 響 は 最 後 まで 受 けないだろう 最 小 ピッチのグローバル 配 線 の 抵 抗 率 はこの 10 年 で 2 倍 以 上 へと 上 昇 することが 予 想 される このことは 大 きな 問 題 である なぜなら グローバル 配 線 は より 長 い 距 離 を 引 き 回 されるため メタル 1 配 線 や 中 間 層 配 線 よりも もっと 特 性 に 影 響 を 与 えやすいか らである この 抵 抗 上 昇 の 影 響 を 緩 和 するためには Cu の 界 面 状 態 微 細 構 造 不 純 物 レベル 等 の 制 御 が 必 要 である MPU の 配 線 は 階 層 構 造 をとっており グローバル 配 線 のピッチと 厚 さは 上 層 ほど 大 きくなっていく 最 上 層 のグローバル 配 線 は 世 代 が 変 わってもほとんど 変 わらないため 電 子 散 乱 の 影 響 は 受 けないだろう そのため 2013 年 度 版 のロードマップ 表 ではグローバル 配 線 ピッチは 世 代 が 進 んでも 一 定 としている 金 属 の 抵 抗 は 温 度 依 存 性 があり それゆえに IC チップの 冷 却 は 配 線 伝 導 率 を 改 善 するひとつの 潜 在 的 解 決 策 である しかしながら これはほとんどの 消 費 財 や 携 帯 デバイスにとっては 実 用 的 ではない 他 に 設 計 上 の 手 法 として リピータの 使 用 またはドライバを 大 きくすることがあるが 両 者 ともチップサイ ズや 消 費 電 力 に 影 響 を 与 えてしまう 近 い 将 来 最 も 有 望 な 解 決 策 は 3 次 元 IC を 可 能 にする 技 術 として の 高 密 度 TSV を 使 用 することである この 技 術 を 使 用 することで すべての 配 線 長 を 削 減 でき 多 様 な 機 能 を 実 現 できる Si 以 外 での 解 決 策 をも 合 体 できる 配 線 幅 が 狭 く 抵 抗 が 高 くなってくるグローバル 配 線 の 影 響 を 最 小 にするため もうひとつの 近 い 将 来 での 解 決 策 は 設 計 と 信 号 選 択 パッケージ 技 術 の 適 切 な 組 み 合 わせである また これらの 問 題 を 解 決 するための 高 周 波 や 光 技 術 の 利 用 について 膨 大 な 量 の 研 究 開 発 が 行 われている より 急 進 的 な 解 決 策 には 超 伝 導 カーボンナノチューブ 等 がある 3 次 元 IC のすべての 議 論 高 密 度 TSV のロードマップ そしてその 他 は TSV 関 連 の 節 に 記 述 され る 無 線 デバイスと 通 信 分 野 の 市 場 の 増 大 は 配 線 層 に 作 り 込 む 受 動 素 子 のプロセスや 材 料 への 注 力 に 拍 車 をかける 特 に 歩 留 まりと 信 頼 性 を 向 上 させるための MIM キャパシタの 電 極 形 成 方 法 および 材 料

56 48 配 線 に 注 目 が 集 まっている Al も Cu も 標 準 的 なスパイラルインダクタに 使 用 されているが 様 々な 磁 性 体 材 料 や 異 なったインダクタのデザインがこれらのデバイスの 面 積 を 低 減 するために 出 現 するかもしれな い

57 配 線 49 First Year of IC Production Lo gic 1/ 2 P itch Metal 0/Contact plug ECD Cu etc nm nm nm nm nm nm nm Barrier less conductor Metal 1, Intermediate wiring Alloy additions to Cu for reliability improvements (CuAl, CuTi) CVD, PVD Cu reflow Alternative materials w ith w eaker size effect (W, Mo, Ru ) This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC27 Conductor Potential Solutions

58 50 配 線 4.5 エッチング / レジスト 除 去 / 洗 浄 解 決 策 候 補 序 論 20nm 以 降 の 世 代 では Cu 配 線 の RC 遅 延 を 低 減 するために κ 値 が 2.3 以 下 のポーラス Low-κ 絶 縁 膜 が 必 要 となる Cu 配 線 インテグレーション 後 の 実 効 的 な κ (κ eff) 値 として 2.5 以 下 が 要 求 される 先 端 技 術 世 代 では[1] バルク Low-κ 以 外 の 絶 縁 膜 についても 誘 電 率 を 下 げていく 必 要 がある 現 在 使 われてい る SiOC 系 膜 を 薄 膜 化 だけでは Low-κ 膜 2.3 以 下 への 低 誘 電 率 化 エアギャップ 技 術 の 導 入 をしても ロードマップ 要 求 を 満 たすことは 出 来 ない 最 終 的 な 実 効 誘 電 率 は インテグレーションにより 左 右 される 特 にエッチングとアッシングは Low-κ 材 料 にダメージを 与 えやすい 工 程 であり 欠 陥 だけでなく 電 気 的 信 頼 性 にも 影 響 する また 洗 浄 工 程 は Low-κ 膜 ダメージ 層 の 部 分 的 に 剥 離 エッチングされ 電 気 特 性 に 影 響 を 与 える Low-κ パターニングを 実 現 するためには 形 状 制 御 プラズマ 照 射 ダメージ 絶 縁 膜 側 壁 底 部 ラフネス エッチングの 残 渣 処 理 などの 技 術 要 求 を 満 たす 必 要 がある プロファイルコントロール: CD コントロール つまり 線 幅 と 深 さの CD 制 御 は インテグレーション 手 法 と エッチングプロセスに 直 結 する 問 題 である 一 般 的 な 要 求 として 垂 直 加 工 形 状 が 望 まれるが 次 工 程 で の 微 細 パターンへのメタル 埋 め 込 み 性 能 (PVD CVD)を 鑑 みて テーパ 形 状 ボーイング 形 状 への 調 整 で 二 律 相 反 な 要 求 を 満 たすことになる 付 け 加 えると 信 頼 性 を 考 慮 する 場 合 にもインテグレーション 方 法 も 併 せて 考 える 必 要 がある 絶 縁 膜 特 性 の 変 化 : プラズマ 起 因 のダメージにより κ 値 は 上 昇 し 電 気 特 性 を 劣 化 させる OSG 絶 縁 膜 特 性 の 劣 化 は Si-CH 3 結 合 の 減 少 及 び Si-OH Si-H 結 合 の 増 加 に 伴 う 吸 湿 また 空 孔 ネットワーク を 介 して 活 性 種 の 拡 散 や 吸 湿 が 進 むことに 起 因 する 加 えて バリアメタルのプリカーサが 拡 散 し 材 料 特 性 が 変 化 することも 懸 念 される 側 壁 と 底 面 の 表 面 ラフネス: 側 壁 及 びダメージを 受 けた 配 線 底 面 ラフネスは 信 頼 性 電 気 特 性 のばら つきを 左 右 する 実 際 表 面 ラフネスは Cu バリアメタルの 連 続 性 に 影 響 を 与 え このためにバリアメタル を 3nm 以 下 の 薄 さで 欠 陥 のない 連 続 膜 を 形 成 することが 困 難 になる ラフネス 表 面 荒 れの 原 因 は レ ジスト 現 像 後 の LER(Line Edge Roughness) プラズマ 照 射 による 荒 れ もしくはエッチング 残 渣 も 含 まれ る プラズマプロセス 制 御 エッチングプラズマは その 対 象 材 料 構 造 毎 に 最 適 化 が 必 要 である 多 少 のテーパ 形 状 の 方 が 樽 型 形 状 よりもメタル 埋 め 込 みにとっては 望 ましい テーパ 形 状 はハードマスクの 肩 落 ち 加 工 とエッチング 保 護 膜 形 成 の 具 合 を 適 度 にコントロールして 実 現 する 加 えて 底 面 荒 れを 最 小 化 しなければならない その 解 決 策 の 一 つとして エッチングガスの C/F 比 およびイオン 照 射 のフラックスとエネルギーを 最 適 化 する ことである エッチングガスによっては 側 壁 の 変 質 加 工 寸 法 に 大 きく 影 響 する エッチング 後 のプラズマ 処 理 によるフロロカーボン 種 の 除 去 が 必 要 加 えて ポーラス Low-κ 膜 のインテグレーション 時 にみられ るダメージに 対 して 誘 電 率 の 回 復 技 術 が 望 まれる ポーラス Low-κ 膜 へのプラズマダメージは 結 合 状 態 の 変 化 膜 収 縮 カーボン 抜 け 表 面 緻 密 層 化 そして 表 面 及 び 膜 自 身 の 疎 水 特 性 の 劣 化 を 顕 在 化 し それは 物 理 的 化 学 的 影 響 が 複 雑 に 絡 み 合 っ た 現 象 により 引 き 起 こされる それは 多 くのプラズマプロセス 固 有 のイオン 衝 撃 活 性 ラジカルに 起 因 した UV 照 射 ( 主 に VUV 光 子 ) による 結 果 により Low-κ 膜 ダメージは 生 じる プラズマダメージの 最 小 化 の ために フロロカーボン 材 料 を 用 いた 低 密 度 プラズマ O 2 もしくは N 2 添 加 量 の 低 減 が ポーラス Low-κ 膜 加 工 で 報 告 されている レジスト 除 去 には 最 小 限 のイオン 光 子 と 電 子 の 有 無 にかかわらず 高 いプ ロセス 温 度 での 水 素 ベースのダウンストリームプラズマ 適 用 に 好 まれる これらの 条 件 下 では 活 性 種 の 空 孔 内 部 での 再 結 合 の 度 合 いを 大 きくすることと 一 緒 に レジスト 除 去 は 行 われ それ 故 に Low-κ 膜 中 への 侵 入 深 さを 制 限 することになる[2] 高 生 産 性 を 得 るために Via レジストアッシングは Via エッチン グ 処 理 チャンバと 同 一 チャンバとするべきである

59 配 線 51 より 良 い 形 状 制 御 には インライン 計 測 が 重 要 であり スキャトロメトリ 技 術 は 従 来 の 測 長 SEM 断 面 TEM に 代 わるものとして 評 価 されている[3] プラズマハードウェア 制 御 現 在 の 容 量 結 合 型 プラズマ(CCP)のプラズマ 源 技 術 を 改 善 していくことで 一 定 のアスペクト 比 の 下 での トレンチ ビアの 微 細 化 と 同 様 に 材 料 に 対 するエッチング 課 題 にも 対 応 していけるだろうと 思 われる Lowκ 膜 のポーラス 化 ULK 材 料 部 分 エアギャップなどの 進 展 に 伴 い メタルハードマスクを 導 入 してアッシ ングダメージを 軽 減 しようという 傾 向 が 強 まってきた エッチング 処 理 の 合 間 にチャンバクリーニング 処 理 を 行 い チャンバ 内 壁 を 初 期 状 態 に 戻 すクリーニング 手 法 が 求 められている これはフッ 素 種 を 除 去 する ことによるり 再 解 離 分 散 と 欠 陥 の 抑 制 を 目 的 とする プラズマによるチャンバクリーニングには 高 品 質 な Si 上 部 電 極 と 適 切 な 酸 化 還 元 ガスの 適 用 が 要 求 される MTBC( 平 均 クリーニング 間 隔 )の 改 善 には WAC(ウェーハレスクリーニング) 手 法 の 開 発 を 念 頭 に 入 れておくべきである 研 究 レベルでは プラズマ 原 子 層 エッチング:PALE(Plasma Atomic Layer Etching)は 今 後 の 候 補 技 術 となり 得 るが 更 なるコンセプト 検 証 実 証 データを 積 み 上 げていく 必 要 がある 現 在 では 二 周 波 励 起 CCP プラズマが 量 産 技 術 として 認 知 されている インテグレーション 手 法 20nm 以 下 の 技 術 では CD の 微 細 化 やアスペクト 比 の 制 約 から フォトレジストは 薄 膜 化 する 必 要 がある マスクを 2 枚 使 用 するインテグレーション 手 法 が 差 別 化 技 術 として 検 討 されているが それらが 今 日 では 量 産 技 術 に 適 用 されている それらはハードマスク 材 料 (メタル 有 機 膜 など)も 含 めて 構 築 される 更 な る 微 細 を 安 定 的 に 進 めるためには 多 層 レジストプロセスによる CD 制 御 が 求 められる EUV 技 術 導 入 が 遅 れたため 32nm ハーフピッチの 実 現 にはダブルパターニング 技 術 が 必 要 となる 昨 今 の 状 況 をみると EUV は 少 なくとも 16nm ノード 量 産 まで 導 入 は 遅 れるとみられ ダブルパターニングお よびマルチパターニング 技 術 の 継 続 が 要 求 される この 手 法 は 複 雑 且 つコスト 高 な 技 術 であるが 唯 一 の 実 現 可 能 な 方 法 である[4] これらの 代 替 技 術 として EB 直 描 技 術 (Mask Less Lithography) ブロック コポリマーを 用 いた 自 己 整 合 リソ(Directed Self-Assembly)[5]が 研 究 レベルで 検 討 されている 各 々 解 決 すべき 課 題 はまだ 残 されている EB 直 描 技 術 では 加 速 電 圧 が 低 いために 40nm 程 度 の 薄 膜 レジスト が 前 提 となり 新 たなハードマスク 仕 様 ( 材 料 積 層 )が 必 要 になるなど[6] その 他 詳 細 はリソグラフィー 章 にて 説 明 する 膜 中 へ 空 孔 の 導 入 をすると 誘 電 率 低 減 と 共 に 機 械 強 度 の 劣 化 は 空 孔 分 布 と 比 例 関 係 を 示 して また それ 故 に C/F ガスエッチングによる 一 般 的 なパターニングプロセス 起 因 による Low-κ 膜 ダメージへ 影 響 されやすくなる 成 果 を 上 げるインテグレーション 手 法 は エッチング 加 工 後 のダメージ 回 復 ポアシーリ ング 処 理 技 術 をポーラス Low-κ 導 入 時 には 検 討 していくべきである[7, 8] これらの 要 求 を 満 たすために エッチング 処 理 レジスト 除 去 装 置 はマルチステーション 化 していく 吸 湿 ダメージ 層 との 反 応 を 防 ぐた めには エッチング ドライレジスト 除 去 ダメージ 回 復 デガス 処 理 及 びポアシーリングを 同 一 装 置 で 行 うことを 求 められる ULK 材 料 のダメージ 修 復 にはシリレーションプロセス シリル 化 技 術 で 実 現 されおり [9-14] 反 応 種 がシ ラノール 基 を 削 除 少 なくとも 減 少 させ 疎 水 シリル 基 (R3-Si-)へ 置 換 する -OH 基 の 削 減 により 吸 湿 し にくくなる ポアシール 技 術 は 部 分 的 か 完 全 に 封 孔 するかによらず NH 3 [10]によるソフトプラズマ 処 理 もしくは CH 4 によるカーボン 層 の 表 面 への 生 成 により 行 われる これらダメージ 回 復 技 術 ポアシール 技 術 を 確 立 するために トレンチ 側 壁 底 面 の 諸 特 性 を 対 処 考 慮 すべきである 処 理 前 後 の ULK 材 料 特 性 ポロ シメトリにより 封 孔 オープンポアの 評 価 をできる ハイブリッドアプローチとは 成 膜 時 すなわちエッチング 加 工 前 にポア 形 成 につかう 有 機 ポロジェンを 抜 かず そのままインテグレーションへ 進 め エッチング 後 もしくはメタル 成 膜 CMP 処 理 後 に 有 機 ポロジ

60 52 配 線 ェンを 脱 離 させる 方 法 である[17, 18] 脱 離 は 熱 処 理 EB 処 理 もしくは UV 処 理 で 行 う この 手 法 はエッ チング 剥 離 除 去 洗 浄 や CMP プロセスの 各 技 術 要 求 を 緩 和 することを 可 能 として それを Figure INTC28 に 図 示 これはあたかも 疑 似 緻 密 膜 もしくはハイブリッド 緻 密 膜 の 様 に 振 る 舞 うことによる これに より プラズマ 起 因 の Low-κ 膜 へのダメージを 顕 著 に 削 減 する しかしながら 有 機 ポロジェンを 脱 離 させ た 際 の 膜 収 縮 ポロジェン 残 渣 などは 信 頼 性 特 性 への 影 響 が 懸 念 される 最 近 では Low-κ 材 料 の 再 成 膜 処 理 を 組 み 合 わせ 膜 厚 変 動 を 緩 和 させる 手 法 が 報 告 されている[19] 一 方 直 近 では 極 低 温 下 でのエッチング 剥 離 プロセスにより ナノポーラス 材 料 へのプラズマラジカル の 侵 入 に 制 約 をかけることができる[2, 20, 21] このプロセスは 極 低 温 エッチングの 間 に 活 性 種 反 応 種 をポア 内 部 へ 凝 結 することにより 一 時 的 な 封 孔 状 態 を 形 成 する 起 案 者 は 異 方 性 Si エッチング 加 工 に 用 いられる SF 6 プラズマを この 極 低 温 エッチングケミストリとして 提 案 している この 場 合 絶 縁 膜 側 壁 は エッチング 処 理 中 SiO xf y 層 で 被 覆 される この 複 合 膜 は-100 度 で 固 形 化 され 常 温 で 揮 発 すること により 清 浄 側 壁 をとる エッチング 及 び 剥 離 除 去 プロセスパラメータが 影 響 を 与 える 積 層 絶 縁 膜 材 料 の 機 械 的 電 気 特 性 を すべて 理 解 することにより 効 率 的 な 微 細 化 要 求 を 満 たすことになる クリーニング 工 程 ウェットクリーニングは エッチングに 絶 縁 膜 側 壁 Trench/Via 底 面 に 形 成 されるポリマー 残 渣 及 びメタ ル 汚 染 の 除 去 を 目 的 として 適 用 される ポーラス Low-κ 材 料 に 存 在 する F 残 渣 及 び 吸 湿 酸 化 銅 及 び 側 壁 保 護 膜 は 歩 留 まりを 劣 化 させる 要 因 となる これらのポリマー メタル 汚 染 は 次 工 程 の 密 着 性 被 覆 性 を 確 実 にするために 選 択 的 に 取 り 除 く 必 要 がある これらの 残 渣 は 顕 著 な 歩 留 まりへの 影 響 を 引 き 起 こす Figure INTC29 で 現 在 要 求 されているクリーニ ングロードマップを 示 す 例 えば 次 工 程 のための Cu 表 面 状 態 の 制 御 は 信 頼 性 特 性 を 大 きく 左 右 する これは エッチングからクリーニング 処 理 までの 引 き 置 き 時 間 (Q-Time)も 含 まれる この 様 な 要 求 により ウェットクリーニング 薬 液 のより 良 い 選 択 により エッチングポリマー 除 去 自 然 酸 化 銅 及 び Cu 表 面 汚 染 の 制 御 再 酸 化 膜 形 成 の 抑 制 を 行 う 従 来 は 溶 剤 系 薬 液 を 使 うのが 通 例 だったが 希 薄 有 機 酸 を 液 相 や 気 相 で 用 いて 効 率 化 とコスト 削 減 を 計 るのが 従 来 手 法 に 代 わって 使 用 される 可 能 性 がある [16, 22] これらの 薬 液 は メタル 汚 染 除 去 酸 化 銅 剥 離 への 有 効 性 を 示 している プロセス 時 間 は 短 く 薬 液 は 常 に 新 鮮 であるのが 望 ましい エッチング 残 渣 CuO 除 去 を 同 時 に 行 うには ~0.5% 希 フッ 酸 がもっとも 簡 易 的 な 薬 液 選 定 である 希 フ ッ 酸 は アッシング 後 のフロロカーボンを 分 解 することができない そのメカニズムは 下 地 基 盤 のアンダー カットによります 希 フッ 酸 による Low-κ エッチングは プラズマによる 改 質 状 態 に 依 存 する アンダーカ ッティングは 残 渣 もしくはパーティクル 除 去 に 有 効 なウェーハクリーニング 方 法 である しかしながら 特 に 微 細 パターンにおいては エッチング アッシングプロセス 起 因 のダメージ 層 は 顕 著 な CD ロスを 引 き 起 こし 不 適 当 である この 様 なポリマー 除 去 には DMSO をもとにした 希 フッ 酸 もしくは 溶 媒 を 組 み 合 わ せ DMSO に 有 効 性 は 見 られない ソルベントもしくは SC1 と UV 処 理 ( =254 nm)を 組 み 合 わせた 2 段 階 処 理 が 除 去 特 性 の 向 上 を 実 現 する[23, 24] エッチング 洗 浄 工 程 のあらゆる 取 り 組 みは 経 済 性 だけではなく 環 境 に 配 慮 したものでなければなら ない しばしばこの 分 野 では 経 済 性 環 境 に 対 して 厳 しい 薬 液 が 使 われるので この 本 質 を 考 慮 しなけ ればならない 例 えば SF 6 は Si エッチングにほぼ 間 違 いなく 選 択 されるが このガスは 同 時 に 地 球 温 暖 化 への 影 響 が 懸 念 される[23] この 様 なプロセス 反 応 種 の 置 き 換 えが 進 み 環 境 への 影 響 を 与 えない 様 に 確 実 な 捕 獲 リサイクリング 反 応 性 ガスの 減 少 を 責 任 を 持 って 進 めるべきである 我 々は プロセス 中 薬 液 中 に 何 を 含 んでいたとしても 常 に 最 悪 の 場 合 を 考 えながら 環 境 への 影 響 を 最 小 限 化 するこ とに 努 めるべきである Table INTC9 Surface Preparation Interconnect Technology Requirements

61 配 線 53 First Year of IC Production Logic 1/2 Pitch 40nm 32nm 28nm 20nm 14nm 10nm 7nm Cu/LOW-k POST CMP Control of Cu roughness control of Cu surface (CuOx or CuFx), control of Cu corrosion, control of Cu removal, slurry residue removal, particle removal, clean Cu in the presence of low -k Wet method Organic acid - based Mineral acid or alkali - based Surfactants for acid Cherate agents for alkali + Corrosion inhibitors Improved scrubbing techniques Dissolved gas control (Chemical and DIW) Advanced wet cleaning Pad cleaning for soft type POST Low -k DEPOSITION CLEANING Wet method Surfactants for acid Cherate agents for alkali Non-damaging megasonics Improved scrubbing techniques Advanced wet cleaning Dry method Backside & Bevel cleaning H 2 -based plasmas Cryogenic aerosols Advanced plasma cleaning This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC28 Post-CMP/Deposition Clean

62 54 配 線 First Year of IC Production Logic 1/2 Pitch 40nm 32nm 28nm 20nm 14nm 10nm 7nm Cu/LOW-k POST-ETCH CLEANING Cleaning porous materials, cleaning materials with C contact, cleaning hydrophobic films, minimal dielectric removal, minimal CD loss, CD control, minimal k-value shift, cleaning high aspect ratios Wet method Mineral acid or alkali - based Organic acid - based Aqueous based (not including organic component ) + Corrosion inhibitors Dissolved gas control (Chemical and DIW) Dry method Advanced wet cleaning RIE ashing/cleaning H 2 -based plasmas Cryogenic aerosols Advanced dry cleaning techniques POST-ETCH RESTORATION and PORE SEALING Surface restorations, Surface densification, New surface clean Ion bombardment Supercritical fluids CVD "caulking" (Parylene and BCB) Deposition + etch-back Plasma treatments ALD(CDO) Wet surface modification Backside & Bevel cleaning Wet etching Polishing This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC29 Post Dielectric Etch Clean

63 配 線 平 坦 化 解 決 策 候 補 序 論 化 学 的 機 械 研 磨 (CMP)は 既 に 配 線 技 術 では 標 準 的 な 技 術 になっている シンニングとエッチングは 2.5D と 3D 構 造 作 成 でも 使 われている さもなければ CMP の 重 要 な 挑 戦 者 が 薄 膜 平 坦 化 のために 今 日 存 在 しない どんな 平 坦 化 プロセスも ウェーハ 全 体 に 渡 り 著 しい 欠 陥 が 無 く 充 分 に 平 坦 化 された 表 面 が 要 求 される デバイスサイズの 縮 小 化 ウェーハサイズの 大 口 径 化 は 継 続 し 平 坦 化 要 求 もそれに 連 れて 益 々 厳 しくなって 行 く 平 坦 化 技 術 の 概 要 最 近 の 課 題 と 解 決 策 候 補 について 議 論 する CMP 採 用 以 前 の 主 な 絶 縁 膜 の 平 坦 化 技 術 には ウェーハに RF バイアスを 印 加 しながら 成 膜 するバイ アス CVD 法 [1] TEOS と O 3 などのような 流 動 性 を 持 つ 熱 CVD 法 [2] スピン 塗 布 による SOG 法 (Spin On Glass)[3]などであった メタルの 平 坦 化 技 術 は Al を 成 膜 後 に 加 熱 流 動 させる 方 法 [4]や W などで 用 いられるブランケット CVD で 成 膜 後 にエッチバックする 方 法 [5]があった CMP の 最 初 の 目 的 (それは 1980 年 代 の 初 めに 最 初 に 採 用 された)は インターレベル 絶 縁 膜 (ILD)の 平 坦 化 であった その 時 以 来 CMP は 種 々 様 々の 構 造 および 材 料 に 採 用 された 各 技 術 世 代 で 使 用 されている CMP のステップ 数 は 着 実 に 上 昇 し 続 けている[6] 標 準 的 なプロセスであっても 種 々の 材 料 について 単 段 の CMP プロセ スだけで 無 く 複 数 段 で 行 う CMP プロセスに 対 しても 平 坦 化 が 要 求 され 複 雑 化 している さらに 微 細 化 さ れたデバイスの 平 坦 化 も 新 たな 重 要 なチャレンジとなってきている Figure INTC30 に 平 坦 化 技 術 の 概 念 を 示 す Figure INTC30 Brief History of Planarization Solutions

64 56 配 線 Figure INTC31 に 平 坦 化 に 関 する 課 題 と 解 決 候 補 を 三 つの 項 に 分 けて 示 した 最 初 の 項 は 主 なプロセ スアプリケーションについての 詳 細 を 年 代 順 に 記 載 した その 他 の 二 つの 項 装 置 消 耗 部 材 の 解 決 候 補 についても 以 下 年 代 順 に 記 載 した 絶 縁 膜 系 CMP 今 日 ILD CMP が 最 も 良 く 用 いられるのは メモリデバイスのストレージノード 部 と 配 線 部 である Figure INTC30 に ILD CMP において ライン&スペース 幅 の 初 期 段 差 を 最 小 にする 方 策 を 示 す 微 細 化 が 進 むに 連 れて CMP 前 の 初 期 プロファイルは 劇 的 に 変 化 する 各 ライン 毎 に 分 離 した 段 差 に 代 わり 絶 縁 膜 のほとんどが 繋 がり 幅 の 広 い 平 坦 化 距 離 (Planarization Length) になってしまう 平 坦 化 距 離 増 加 はフ ロントエンドプロセスの 章 で 議 論 されている Shallow Trench Isolation (STI) CMP でも 見 られる さらに STI-CMP では 平 坦 化 性 能 に 影 響 する Starting Material Wafer のナノトポグラフィーやロールオフ[7]を 最 小 にする 必 要 が 有 る 微 細 化 の 進 行 により CMP 後 の 残 膜 厚 さの 許 容 値 もより 厳 しいものとなっている デバイス 構 造 および 利 用 されている 材 料 の 変 化 は 新 しい 平 坦 化 適 用 の 創 出 に 結 び 続 けられる フラ ッシュメモリのフローティング ゲートの 主 要 な 選 択 肢 も ポリシリコン 上 で 絶 縁 膜 を 研 磨 ストップすること が 必 要 である CMP 工 程 は ダブル パターンニング 形 成 や レジストのデュアルダマシン 施 行 時 の 平 坦 化 においてリソグラフィーを 助 けるように 使 用 されている 不 揮 発 性 メモリと 最 も 低 いエフェクティブ κ 値 のためのエアギャップによるロジックデバイスをつくる 新 しい 方 法 は ILD のようなステップで Low-κ や ultra-low-κ の 絶 縁 膜 を 平 坦 化 ドライブする 必 要 がある DRAM では 平 坦 化 の 挑 戦 は 積 み 重 ねた 構 造 の 出 現 でつくられた 3DIC のためのオプションとして 最 後 の 裏 面 Si 薄 化 ステップは 高 エッチングレ ートが 要 求 されている 新 しい 絶 縁 膜 ステップとも 考 えられる 3DIC には さらにパッシベーション 膜 除 去 や 金 属 露 出 の TSV を CMP でできることが 望 まれる CMP はより 垂 直 方 向 に 厚 膜 になった 集 積 された メモリや 3D 配 線 MEMS などに 利 用 されるようになり より 除 去 効 率 の 高 いプロセスの 必 要 性 が 出 てきた FEOL では 窒 化 膜 を 除 去 して Self-Aligned Contacts(SAC)のため 酸 化 膜 の 上 で 止 まる 平 坦 化 のアプリ ケーションは 配 線 技 術 へ 移 管 する 可 能 性 がある 絶 縁 膜 の 拡 散 バリアはリセス 金 属 配 線 上 にデポし そ れが 必 要 なライン 以 上 ののみ 拡 散 バリアを 残 して ポリシュバックする さらに 異 なる 屈 折 率 の 絶 縁 膜 の ダマシンプロセスでの 用 途 は 光 相 互 配 線 で 行 われている 研 究 のための 共 通 のアプローチである 導 電 膜 系 CMP ポリシリコンは 徐 々にタングステンに 取 り 換 えられているにも 関 わらず DRAM 技 術 のコンタクトやランデ ィング パッドに 未 だ 広 く 用 いられている コンタクト プロセスは 単 に 絶 縁 膜 上 で 研 磨 を 停 止 する 方 法 から 絶 縁 膜 と 窒 化 膜 の 組 み 合 わせを 研 磨 する 方 式 へと 移 っている 絶 縁 膜 上 のポリシリコン ストップの 平 坦 化 はフラッシュ フローティングゲートでは 一 般 的 である タングステン(W)をコンタクト ビアに 最 初 に 適 用 した 際 には エッチバックプロセスが 採 用 された エッチ バックから W と Ti ベースのライナーに 対 し CMP プロセスの 適 用 へ 変 更 することで 生 産 性 は 向 上 した 今 日 先 端 ロジックデバイスでは コンタクトに W のみを 使 用 している DRAM の 配 線 工 程 では W と ILD-CMP から Cu とバリアメタル CMP へと 変 ってきている ポリシリコンエッチバックへの 移 行 やダブル コンタクト 形 成 の 出 現 により W-CMP の 工 程 数 は 減 少 していない W-CMP では パターンアレイの 両 端 で Erosion が 大 きくなる EOE(Edge Over Erosion)が 依 然 として 課 題 となっている これは スラリーやパッ ドの 開 発 により 改 善 して 来 ている 絶 縁 膜 での 低 選 択 性 スラリー 適 用 は 平 坦 性 改 善 の 手 段 となる 同 時 に 起 こるリセスとエロージョン フィルムのコントロールの 喪 失 は 多 層 配 線 レベルで 使 うロジックとメモリに 対 しさらに 重 要 となった メモリでビット 線 とワード 線 を 作 るための 選 択 性 W CMP が 使 われ 始 めている Cu と Barrier CMP は 他 のレイヤーより 多 くの 平 担 化 工 程 がある Cu 研 磨 から Ta ベースのバリア 層 研 磨 ハードマスク 材 料 研 磨 最 終 トポグラフィーと 膜 厚 を 最 適 化 するまで 複 数 段 の 研 磨 が 実 施 される 電 解 腐 蝕 や 光 腐 蝕 [8] 等 の 腐 蝕 は 導 電 液 中 で 導 電 体 を 研 磨 する 限 り 永 遠 の 課 題 である 技 術 の 進 歩 に 連 れ 絶 縁 膜 の 誘 電 率 は 低 下 して 行 く より 脆 い 材 料 で 作 られた より 小 さいサイズのチップを 研 磨 するに は デバイスに 損 傷 を 与 える 最 大 応 力 を 低 減 する 必 要 がある 既 存 CMP の 低 応 力 改 善 の 探 求 と Electro

65 配 線 57 Chemical Mechanical Polish(ECMP) Electro Chemical Polish (ECP)や Chemical Etching(CE)または それらの 技 術 の 組 み 合 わせである 代 替 案 が 研 究 されている[9] Cu 研 磨 時 の 機 械 的 要 素 は 減 少 してき たが 化 学 的 要 素 は 増 加 してきている これは 腐 蝕 防 止 と 平 坦 性 の 改 善 の 必 要 を 意 味 する 将 来 のバリア CMP は 上 記 課 題 に 加 えて 以 下 の 課 題 がある パターンニングや 金 属 埋 め 込 みが 益 々 困 難 になり CMP は 新 バリア 層 の 研 磨 やマンガンあるいは 銅 あるいはルテニウムのようなシードレイヤー マテリアル 絶 縁 膜 金 属 膜 ハードマスクの 数 の 増 加 に 対 応 する 必 要 がある 実 効 誘 電 率 を 最 小 にする ために CMP はポーラス 度 が 増 加 した 絶 縁 膜 を 研 磨 する 必 要 がでてきた 緻 密 な 電 気 誘 導 体 のハード マスクの 除 去 と 脆 弱 な ULK フィルムをストップさせることは 大 いなる 挑 戦 である CMP の 最 適 化 CMP 後 洗 浄 復 興 技 術 の 組 み 合 わせを 通 して 絶 縁 膜 の 変 性 を 防 ぐ 方 策 が 取 られる 配 線 抵 抗 が 現 在 全 体 的 な 回 路 速 度 の 主 要 なドライバであるとすると フィルムロスのコントロールがより 重 要 になる また Cu とバリアの 研 磨 ステップは 先 端 プロセスでは 増 加 するので 上 記 に 述 べたような 課 題 解 決 に 特 別 な 注 意 を 払 いながら スループット 向 上 や 全 体 的 なコストダウンを 進 めて 行 く コンダクターの 新 しい 平 坦 化 アプ リケーションには 切 迫 した 必 要 性 はたくさんある フロント エンド プロセスを 考 慮 すれば ポリシリコンは ゲートができる 前 に 徐 々にマルチゲートトランジスタへ 平 坦 化 していく W 配 線 を 再 構 築 するため メタル ゲートと 結 ばれるコンタクト 工 程 は W の 体 積 とバフの 工 程 が 絶 縁 膜 とメタルの 混 在 面 のどこで 止 まらな ければならないのかという 選 択 肢 を 増 やした コンタクトのための W は 結 局 はよりよいコンダクターに 取 って 変 えられなければならない 浅 い Via 底 W や CuVia 上 のスタック 構 造 は 増 加 する 形 で 今 日 に 至 っ ている いずれ 他 のメタルあるいはカーボンベースのコンダクターもおそらく 必 要 となるだろう Cu の 配 線 は 変 えなければならない それは エレクトロマイグレーションの 影 響 を 緩 和 するために 計 算 さ れた 形 状 を 保 持 するだろう Cu のグレイン 構 造 はより 大 きなグレインの 方 へドライブしている そして そ れは Cu デポ 厚 みを 維 持 する 必 要 があることを 意 味 している また 銅 がニッケルのような バリアなしで 使 われるほかの 物 体 への 切 り 替 えもあるだろう 多 孔 性 の 増 加 はエアギャップ 構 造 を 加 速 する そして それは Cu とバリアの 平 坦 化 への 挑 戦 を 増 やすことだろう DRAM キャパシタは 貴 金 属 の 実 用 化 をやっと 始 めるところであり そこでは 特 殊 な 平 坦 化 方 法 が 必 要 と なるだろう フラッシュメモリを 凌 駕 する 様 々な 不 揮 発 性 メモリ 技 術 が 開 発 されつつある これらの 技 術 に おける 記 憶 セルの 形 成 方 法 は 徐 々にダマシン 工 程 と CMP に 移 行 していくと 考 えられ 今 日 の PRAM の GeSbTe ともう 一 つの Chalcogenides 層 用 に 配 慮 されている 3DIC 技 術 はより 広 く 使 われるようになってき ているため 低 コストで 幅 広 い 機 能 を 作 りだすには 特 別 に 高 いレートのバルクメタルとバリア 工 程 における 改 良 が 必 要 となる もう 一 つ ほかならぬ 注 意 が 必 要 な 工 程 は 故 障 解 析 の 研 磨 を 伴 う 階 層 削 減 の 配 線 である 装 置 課 題 Dry-in Dry-out コンセプトと 呼 ばれる 洗 浄 機 一 体 型 のロータリー 型 ポリッシャーが 主 流 である 装 置 は より 熟 成 度 は 増 してきたが 上 記 の 新 プロセス 開 発 に 合 わせた 改 良 改 善 は 今 後 も 続 くであろう 装 置 は Overall Equipment Efficiency (OEE 使 用 効 率 )を 向 上 させる 努 力 も 続 けている 現 在 プロセスコントロ ールや 面 均 性 の 向 上 を 見 据 えた エンドポイントと 測 定 機 能 に 重 点 が 置 かれているが プロセス 調 整 に 時 間 を 要 するインライン 測 定 に 対 してエンドポイントが 好 まれている バリア CMP は 現 在 測 定 技 術 が 使 用 されているが 真 のエンドポイント 機 能 が 望 まれるアプリケーションである 装 置 は 低 ストレス 用 に 低 面 圧 に 設 計 されているが 更 に 進 化 させ 消 耗 品 も 考 慮 した 低 ストレス 平 坦 化 技 術 が 望 まれる 放 射 状 の 不 均 等 を 合 わせるための 能 力 の 改 善 とりわけ 電 気 誘 導 体 のフィルムは 必 要 である 装 置 は 低 ストレス 用 に 低 面 圧 に 設 計 されているが 更 に 進 化 させ 消 耗 品 も 考 慮 した 低 ストレス 平 坦 化 技 術 が 望 まれる 間 もなく 来 るであろう 450mm のシフトは 更 なる 働 きをドライブしている これは エッジエクスクルージョ ン 2mm の 有 能 なハードウェアのデザインを 含 めている また 装 置 のフットプリントを 最 小 化 するための 新 しいオプションも 意 味 している

66 58 配 線 消 耗 材 消 耗 部 材 は 最 も 平 坦 化 性 能 を 左 右 する 最 大 の 因 子 であり それゆえ 大 幅 な 進 歩 が 要 求 される 今 日 使 用 されている 砥 粒 型 スラリーは 特 に 欠 陥 の 観 点 から 安 定 性 が 重 視 される 傾 向 にある 多 種 多 様 なスラリ ーの 開 発 は 指 数 関 数 的 に 欠 陥 を 下 げ 平 坦 化 を 改 善 し ますます 複 雑 なアプリケーションをサポートし ながらも 原 価 を 減 少 させなければならない スラリーは 砥 粒 濃 度 を 下 げ 化 学 反 応 を 強 めると 言 う 傾 向 は 今 後 も 継 続 し CMP 用 に 改 質 / 調 整 される 砥 粒 も 増 加 傾 向 にある 研 磨 材 は 許 容 できる 純 度 と 独 特 の 界 面 バルク 特 性 で 作 られなければならない イノベーションとしては エリアの 微 粒 子 検 知 を 必 要 とし ている もしくは 砥 粒 レスフォーミュレーションが 出 てくるであろう 研 磨 材 は 許 容 できる 純 度 と 独 特 の 界 面 バルク 特 性 で 作 られなければならない この 理 念 は より 厚 い 膜 のより 高 い 削 除 率 と 選 択 性 の 両 方 を 同 時 に 設 計 できる 力 になりえる また それはスラリーをより 環 境 にやさしくする 援 助 をしなければならない 腐 食 を 誘 発 するような 課 題 を 除 いた 特 定 のスラリーや 残 渣 を 最 適 に 除 去 する 化 学 的 作 用 の 洗 浄 薬 液 も 開 発 しなければならない この 挑 戦 は 特 にポーラス 膜 低 温 誘 電 体 電 気 金 属 膜 スタックとセリア 粒 子 のために 急 がれる 洗 浄 ブラシは 新 材 料 や 新 たな 形 成 方 式 が 必 要 となろう 効 果 的 により 小 さなパー ティクルを 取 り 除 く 能 力 も 必 要 で 洗 浄 ステップに 入 る 前 に 基 板 洗 浄 を 改 善 するために より 多 くなった CMP アプリケーションとして バフのプロセスの 活 用 が 言 われている 今 日 のウレタンパッドにおいても 幅 広 い 硬 度 や 種 々 別 のアプリケーションに 対 応 できる 化 学 移 送 などの 大 幅 な 進 歩 が 必 要 である 今 日 固 定 砥 粒 パッドは 主 に STI で 使 われているが 使 用 は 減 っている 必 要 な 平 坦 化 と 選 択 性 を 達 成 するための 用 途 ごとにスラリーとの 組 み 合 わせを 考 えることが 出 来 る 様 々な 種 類 のパッドの 開 発 が 強 く 望 まれている 将 来 のパッドの 設 計 は 故 意 的 な 荒 れを 取 り 入 れなければなら ない 新 しいタイプのパッドに 対 しては 特 に パッドコンディショニング 方 法 の 改 善 も 必 要 である パッドと スラリーのために 開 発 で 得 られた 解 決 策 がより 成 熟 するため より 多 くの 基 本 的 なメカニズムの 研 究 が 必 要 である

67 配 線 59 First Year of IC Production DRAM 1/2 Pitch nm nm nm nm nm nm nm nm nm nm MAJOR APPLICATIONS Dielectrics Interlevel dielectric (ILD) [memory] LK/ULK dielectric (ILD) [NVRAM, air gap] Backside Si [thinning, 3DIC] Backside dielectric [3DIC] Diffusion barrier [logic] Conductors Polysilicon [selective] Tungsten/buff for contact/via [selective & nonselective] New contact/local interconnect [logic] Tungsten/buff for bit/word lines [memory] Capacitor (Ru, Pt) [DRAM] Copper/barrier/diel [4.0 > κ eff > 2.5] Cu/barrier/hardmask/diel [2.7 > κ eff > 2.0] Cu/barrier (Ru, Co, Mn)/HM/diel [2.2 > κ eff > 1.4] NVRAM cell (GST, PZT, Ni, Fe) [PRAM, MRAM, FeRAM] Metal/barrier/diel for 3DIC [memory, logic] EQUIPMENT Integrated clean and onboard metrology Variety of endpoint and process control techniques Mult-step processing with higher thruput & low stress 450mm with 2mm edge exclusion and increased profile control continued This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC31 Planarization Applications and Equipment Potential Solutions

68 60 配 線 First Year of IC Production DRAM 1/2 Pitch nm nm nm nm nm nm nm nm nm nm CONSUMABLES Fluids High solids slurries Slurries with low or no solids/defects/cost/selectivity Tailored slurry formulations from tunable platforms High rate processes for 3D/MEMS Cleaning and buff solutions tailored to applications Pads Urethane pads for new applications Range of alternative pads for planarity/defects/cost Conditioners, Brushes Range of conditioners for stability/pad life Novel brushes for cleaning efficiency This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Research Required Development Underway Qualification / Pre-Production Continuous Improvement Figure INTC32 Planarization Consumables Potential Solutions

69 4.7 SI 貫 通 ビア(TSV) 3D 積 層 化 技 術 序 論 配 線 61 TSV 接 続 を 用 いた 3D 配 線 技 術 は 基 本 的 に 3 種 類 の 主 要 プロセスモジュールから 成 り 立 っている:(1) TSV モジュールそのもの (2)ウェーハ 薄 化 と 裏 面 処 理 プロセス および(3)ダイあるいはウェーハの 積 層 化 プロセス( 永 久 接 着 および/あるいは 仮 接 着 ) これらのプロセスはそれぞれが かなり 特 化 した 装 置 とプロ セス 技 術 を 必 要 とし マイクロエレクトロニクス-サプライチェーンの 中 の 異 なった 分 野 で 行 われている プ ロセスモジュールに 関 する 以 下 の 議 論 は それゆえ これらの 三 つの 基 本 要 素 で 構 成 される SI 貫 通 ビア 技 術 集 積 回 路 (IC)の Si 基 板 を 貫 通 したビア 接 続 を 実 現 するため 非 常 に 多 くの 技 術 が 提 案 されてきた 実 際 のプロセスは IC 製 造 プロセスの 前 途 中 あるいは 後 に 行 われる この 技 術 は 能 動 素 子 が 搭 載 されてな い シリコン インターポーザ 作 成 のためだけにも 使 われている しかしながら 以 下 の 多 くの 共 通 点 を 明 確 に 定 義 することが 可 能 である:Si 基 板 にエッチングで 孔 を 形 成 しなければならない Si 基 板 中 への 金 属 の 拡 散 を 阻 止 するためバリア 層 が 提 供 されなければならない そして 導 電 材 料 をビア 内 に 埋 め 込 まなけれ ばならない TSV 技 術 でもっとも 一 般 的 なのは ウェーハプロセス 工 程 が 完 了 する 前 に TSV 機 能 を 作 りこ む 方 法 (3D-SIC 技 術 で 一 般 的 )か ウェーハプロセス 工 程 が 完 了 したあとにビアを 形 成 する 方 法 (3D-WLP 技 術 で 一 般 的 )である[1] TSV エッチング 技 術 TSV 孔 を 形 成 する 際 一 般 的 に ウェーハを 完 全 に 突 き 抜 けるエッチングは 行 わない Si 基 板 を 貫 通 する 孔 のウェーハプロセスは ウェーハやウェーハレベル-パッケージングの 標 準 的 なプロセス およびその 設 備 との 整 合 性 が 良 くない よく 使 われるのは blind ビア 法 である Figure INTC33 に 見 られるように ある 一 定 の 深 さまでか エッチ-ストップ 層 に 到 達 するまで TSV エッチが 行 われる Undercut Scalloping Residue oxide Scalloping Residue Notching Si Si oxide Figure INTC33 Schematic Cross-sections of the Challenges for Si-TSV Plasma Etching マスク 酸 化 膜 あるいは BEOL 層 を 介 したエッチング インテグレーション 上 の 現 実 的 な 課 題 に 強 く 依 存 するものの Figure INTC33 に 示 すように Si 基 板 へのビ ア 孔 形 成 には レジスト 酸 化 膜 あるいは SiO SiN SiON SiO(C) Low-κ 材 料 といった BEOL 層 を 介 し たエッチングが 必 要 となる Si の TSV エッチングを 行 う 前 には マスク 層 がエッチングされなければならな い これは Si エッチの 前 に Si エッチと 同 じ 装 置 か あるいは 別 の 装 置 チャンバで 行 われる 保 護 層 ある いはマスク 層 に 対 する Si エッチの 選 択 比 にもよるが 厚 い 保 護 層 /マスク 層 の 条 件 でのエッチプロセスが 開 発 課 題 となるであろう また パターニングされた 保 護 層 /マスク 層 の 下 に 生 じる Si のアンダーカットが 懸 念 事 項 となる 高 アスペクトの Si 孔 溝 エッチング

70 62 配 線 実 際 の Si 孔 形 成 は 通 常 プラズマエッチングによって 行 われている TSV Si エッチングの 特 長 は エッ チング 孔 が 深 く アスペクト 比 が 高 い 点 である よって 高 価 な 装 置 を 占 有 した 長 時 間 プロセスとなるため 高 速 のエッチングプロセスが 強 く 望 まれている ビア 孔 エッチングの 重 大 な 課 題 として 側 壁 のテーパ 角 を( 局 所 的 にも 全 体 的 にも)うまくコントロールするこ と 側 壁 の 荒 れやスキャロッピングを 最 小 限 にすること 最 小 限 の 残 渣 / 欠 陥 最 小 限 のアンダーカットとノッ チ マスク 層 真 下 の bowing を 最 小 にすること 相 応 のエッチレート そして 再 現 性 とエッチング 深 さ 形 状 の 面 内 均 一 性 が 優 れていることがあげられる Si が 等 方 的 にエッチングされることを 避 けるため 側 壁 のパッシベーションとビア 底 の Si エッチング 反 応 と を 両 立 できるエッチングレシピが 用 いられる よく 使 われている 方 法 として Bosch レシピがあり パッシベー ションとエッチングステップの 時 間 をそろえて 交 互 に 行 う パッシベーションのステップでは Si 表 面 にポリマ ーを 付 着 させる Si エッチのステップでは ビア 底 表 面 のポリマーが 容 易 に 除 去 され ビア 側 壁 にはそれを 残 して Si 表 面 を 保 護 する Figure INTC33 の 概 略 図 に 示 すように この 方 法 の 欠 点 は 側 壁 Si 上 の スキャ ロップ:scalloping である ビア 側 壁 表 面 に 形 成 される 周 期 的 な 円 形 のリッジが これ 以 降 のステップを 複 雑 にする 限 界 寸 法 アスペクト 比 および TSV プロセスによる 最 終 的 なエッチング 深 さにも 依 存 するが non-bosch レシピの RIE プロセスも 使 われるであろう これらは 通 常 既 存 の CMOS プラズマエッチャー( 酸 化 膜 ある いはポリシリコン 用 )のハードウェアをアップグレードし[2] さらに 先 端 プロセスの 開 発 を 行 って TSV の 形 状 サイズならではの 主 に 三 つの 主 要 性 能 向 上 に 取 り 組 む:1)5-15μm/min くらいの 高 いエッチング 速 度 2)テーパ 角 を 調 節 するための 高 い 異 方 性 性 能 / 可 能 性 3)Si エッチに 対 する 高 い 選 択 比 製 造 業 の 立 場 から 言 えば non-bosch RIE プロセスが Bosch プロセスより 優 れている 主 な 点 は scalloping の 無 い 滑 らか な 側 壁 ; 側 壁 テーパ 角 の 調 節 能 力 ; 既 存 設 備 の 再 利 用 ; 最 小 限 のフッ 素 を 含 んだポリマー 残 渣 ;そして 最 小 限 のアンダーカットがあげられる 注 目 すべきは 限 界 寸 法 があまりにも 小 さくなって( 通 常 1μm 以 下 ) アスペクト 比 が 高 くなりすぎると( 通 常 20:1 以 上 ) Bosch プロセスのほうが 有 利 になる 点 である エッチングのあと Si ビアホールのクリーニングが 重 要 なプロセスとなる 特 に Bosch エッチのパッシベー ションサイクルの 際 に 形 成 されるフッ 素 を 含 んだポリマーは 次 のプロセスの 前 に 完 全 に 除 去 される 必 要 が ある 深 い Si エッチングプロセスに 関 する もう 一 つの 固 有 の 特 徴 は エッチング 速 度 がアスペクト 比 に 依 存 する ことである Si ウェーハ 内 のビアをより 深 く 掘 り 進 んでいく 時 あるいはビア 径 が 小 さくなる 時 エッチング 速 度 が 低 下 する 平 均 のエッチング 速 度 とビアのアスペクト 比 は 概 ね 逆 比 例 の 関 係 にある 結 果 として TSV パターニングの CD 制 御 は ウェーハ 間 で 均 一 なエッチング 速 度 を 得 るのに 極 めて 重 要 となる TSV ライナープロセス - 絶 縁 層 が TSV 容 量 を 規 定 する TSV 接 続 を Si 基 板 から 電 気 的 に 絶 縁 するため 絶 縁 層 が 必 要 である 絶 縁 層 の 重 要 要 件 は 低 リーク 電 流 十 分 大 きな 耐 圧 と 低 容 量 を 示 すことである TSV ライナー 層 の 成 膜 は デバイスのプロセスフローと 適 合 していなければならない 成 膜 温 度 なら via middle であればフロントエンドのデバイスプロセスが 許 容 できる 温 度 via last であればバックエンドの 配 線 プロセスが 許 容 できる 温 度 そして TSV プロセスがキャリア 上 で 行 われるのであれば 仮 接 着 材 料 が 耐 え られる 温 度 であることを 意 味 している とりわけ DRAM メモリデバイス 形 成 後 の TSV プロセスは デバイス ウェーハに 損 傷 を 与 えないため 200 以 下 が 必 要 とされている 理 想 的 にはこのライナー 層 が Si 側 壁 の 凹 凸 (Bosch エッチングによる scallop のこと)を 平 坦 化 しなくてはな らない 側 壁 のスキャロップの 上 にコンフォーマルな 成 膜 が 行 われると 以 下 に 続 くプロセスステップにとっ て さらに 難 しい 表 面 形 状 になる 可 能 性 がある PVD 法 も 検 討 されてはいるものの もっとも 一 般 的 なライナーは CVD 成 膜 した 酸 化 膜 か 窒 化 膜 である 低 温 のプロセス 条 件 ではコンフォーマルな 成 膜 がより 難 しくなる 窒 化 膜 は 容 量 の 増 加 につながるものの 金 属 拡 散 を 防 ぐバリア 膜 として 使 える

71 配 線 63 3D-WLP を via-last の TSV で 形 成 する 場 合 ポリマーの 絶 縁 膜 も 使 用 可 能 である 径 の 大 きなビア 構 造 で も 非 常 に 低 い 容 量 を 実 現 でき また TSV 構 造 において 金 属 に 生 じる 歪 みの 吸 収 層 として 有 効 である[3] TSV バリア 層 TSV メタルの Si 中 への 拡 散 を 防 ぐため 品 質 が 高 く ピンホールの 無 いバリア 層 が 必 要 とされている よく 使 われているのは Ta と TIN で TSV メタルとライナー 膜 との 密 着 力 も 向 上 する バリア 膜 の 成 膜 技 術 として 一 般 的 なのは PVD と CVD である CVD は 様 式 が 異 なるため 非 常 に 難 しい 高 アスペクト TSV ビア 孔 へのバリア 成 膜 が 可 能 となる PVD 技 術 は コンフォーマルな 成 膜 やアスペク 比 とい う 点 でより 大 きな 制 限 があるが 優 れた 密 着 性 膜 のバリア 特 性 および 低 い 運 用 コストという 点 で 好 まれて いる PVD 装 置 の 改 良 で PVD 法 によるバリア 成 膜 のプロセス 条 件 範 囲 が 拡 げられている TSV メタル 埋 め 込 みプロセス 導 電 性 の TSV 構 造 を 実 現 する 主 な 方 法 として Cu の 電 解 めっき(ECD) 法 タングステン(W)の CVD 法 Cu の CVD 法 あるいは via first でのポリ Si の 埋 め 込 みがある Cu あるいは W 埋 め 込 みについてはいく つかのオプションプロセスが 存 在 し 詳 細 を 以 下 に 示 す Figure INTC34 は 3D-TSV ロードマップにおけ る Cu-と W-TSV の 異 なるオプションプロセスについて TSV 径 とアスペクト 比 の 関 係 をマッピングしている Figure INTC34 Cu and W-based TSV Options as a Function of TSV Diameter and Aspect Ratio, in Accordance with the 3D Interconnect Hierarchy and Roadmap The green diagonal lines represent a constant TSV depth. (Trench and annular TSV refer to non-cylindrical TSV shapes which are narrow in one lateral dimension.)

72 64 配 線 Cu TSV Cu TSV のプロセスステップ: シード Cu の 成 膜 ECD による Cu ビア 埋 め 込 み および 余 分 な Cu 層 の CMP による 除 去 一 般 的 な 手 法 は BEOL プロセスのシングルダマシン Cu めっきとしてよく 使 われているものの 応 用 である 大 きな 違 いは Cu TSV 形 状 が 高 アスペクトな 点 である[5] Cu シード 成 膜 でよく 用 いられているのは PVD 法 である 主 要 課 題 は 高 アスペクトの TSV 構 造 で 連 続 的 なシード 層 を 得 ることである Cu PVD 法 で 実 現 している 最 も 高 いアスペクト 比 は 5~10 である 高 アスペク ト TSV に 対 応 可 能 な それに 代 わる 方 法 として CVD Cu Cu シード 層 のエレクトログラフィティ 法 および バリアメタル 上 へ Cu を 直 接 めっきする 方 法 がある ECD 法 による Cu 埋 め 込 みプロセスの 主 要 課 題 は ボイドフリーの 埋 め 込 みを 実 現 することである そのた めにはエッチングされたビア 構 造 への superfilling が 必 要 である これは ビア 底 での 成 膜 を 加 速 し ウェ ーハ 最 表 面 での 成 膜 を 抑 制 平 滑 化 するよう めっき 液 中 の 添 加 剤 を 注 意 深 く 制 御 することで 実 現 される 結 果 として 得 られるプロセスは 成 膜 速 度 が 遅 く 1 台 の 装 置 で 複 数 のウェーハを 並 行 処 理 できる 設 備 が 必 要 となる ECD Cu 成 膜 のあと Cu アニールが 行 われる これはいわゆる Cu-pumping 問 題 :TSV 構 造 形 成 後 の 高 温 プロセスで Cu が 突 出 する 現 象 を 避 けるために 必 要 である 典 型 的 な via-middle プロセスではそのあと Cu-CMP を 行 う さらに 続 く BEOL プロセスのため Cu-CMP に 加 えて バリアとライナー 層 も 取 り 除 く 必 要 がある W-TSV: W CVD 埋 め 込 み CMP CVD は 大 きなアスペクト 比 の 狭 い TSV 構 造 を 埋 めるのに 用 いられる 直 径 が 3μm までの TSV について 報 告 されている[4] より 大 きな TSV 構 造 は 狭 いスリット 型 あるいは 同 心 円 状 のリング 型 の TSV を 複 数 個 並 列 接 続 することで 実 現 できる[6] W の CVD プロセスは 非 常 にコンフォーマルである 典 型 的 な W 埋 め 込 みの TSV は 中 心 部 のシーム 状 ボイドが 特 徴 である TSV を 埋 めるには 相 対 的 に 厚 い W 層 が 必 要 なため ブランケット W を 膜 厚 < 500 nm ぐらいまで 剥 離 し ないよう 部 分 的 にエッチバックする 部 分 的 エッチバックはウェーハの 反 りを 適 度 なレベルまで 減 らすのにも 役 立 つ CVD 法 での W 埋 め 込 みのあと 代 表 的 なプロセスは ウェーハのフィールド 上 の W を 除 去 するための W-CMP である そのあと さらに 続 く BEOL プロセスのため バリア 膜 ライナー 膜 の CMP が 必 要 となる W-CVD 膜 は 膜 ストレスが 大 きいため 主 に 小 径 (< 2μm) の TSV への 適 用 が 考 えられている ポリ Si の TSV:VIA-FIRST 技 術 via-first 技 術 では FEOL プロセスとの 整 合 性 の 問 題 で Cu や W の TSV が 使 われない ポリ Si が TSV 埋 め 込 みに 用 いられる この 場 合 ライナー 膜 だけが 必 要 で バリア 膜 は 必 要 ない ポリ Si 成 膜 のあと そ のウェーハは 研 磨 され 標 準 的 な Si プロセス 工 程 が 行 われる デバイス 製 造 工 程 での 歩 留 り 低 下 を 避 ける ため 高 品 質 のウェーハ 前 処 理 が 必 要 となる ポリ Si の 抵 抗 率 が 高 いため この 手 法 の 使 用 範 囲 は 高 イ ンピーダンスの TSV 配 線 が 許 容 される 製 品 に 限 定 される ウェーハ 薄 化 と 裏 面 プロセス オプション: 3D ボンディングの 前 に TSV を 形 成 これは 3D 積 層 化 するウェーハを 別 々に 並 行 して 処 理 する 方 法 である TSV プロセスとコンタクトパッドの 形 成 を 並 行 して 行 い 3D 積 層 化 するウェーハを 用 意 する プロセスの 最 後 に 異 なるダイあるいはウェーハ が 接 合 されて 3D 積 層 が 完 成 する (Figure INTC35 を 参 照 )

73 配 線 65 TSV 形 成 を 3D ボンディングの 前 に 行 うということは 薄 化 したウェーハでのプロセスを 意 味 する via-last プ ロセスでは それが 実 際 の TSV 接 続 形 成 工 程 となりえる via-first と via-middle プロセスでは 通 常 は ウ ェーハ 裏 面 に TSV を 露 出 させるプロセス 裏 面 への 保 護 膜 形 成 プロセス そして 再 配 線 とバンプ 構 造 をウ ェーハ 裏 面 に 形 成 するプロセスが 含 まれる これらのプロセスは 広 範 囲 におよび 比 較 的 高 温 を 必 要 とする 可 能 性 がある ボンディングの 前 にウェーハ 薄 化 を 行 うプロセスフローでは 薄 化 ウェーハが 壊 れないように 運 搬 するプロ セスが 必 要 である 3D 積 層 化 に 対 する 要 件 は 3D-SIP 応 用 で 用 いられている 標 準 的 な 薄 化 や 個 片 化 プ ロセスと 比 べて 著 しく 厳 しいため 専 用 の 手 法 が 必 要 となる このプロセスの 鍵 となる 要 素 技 術 を 以 下 に 示 す: o 仮 接 着 した 薄 化 ウェーハのキャリアシステム 薄 化 ウェーハのキャリアシステムは ウェーハ 薄 化 後 に 標 準 的 な 半 導 体 プロセス 装 置 で 行 われる 幅 広 いプロセスを 考 慮 すべきである 薄 化 したデバイスウェーハとキャリアとの 間 の 仮 接 着 層 は すべて の( 高 温 )TSV プロセスにおいて 安 定 であり かつ 薄 化 した 3D ダイにダメージを 与 えたり 残 渣 を 残 し たりせず 取 り 外 せなければいけない 二 つの 主 要 な 方 策 は 以 下 の 通 り 一 つの 方 策 はキャリアとしてガラス 基 板 を 用 いる この 方 法 だと 光 学 的 な 技 術 を 用 いて 硬 化 ( 例 えば UV 硬 化 )あるいは 薄 化 した TSV ウェーハからキャリアウェーハを 剥 離 ( 例 えば レーザ アブレーション)することが 可 能 になる また 裏 面 プロセスを 行 う 際 に 光 学 的 に back-to-front の 位 置 合 わせが 行 える ガラスキャリアの 欠 点 は 熱 膨 張 係 数 (CTE)が Si と 整 合 した 特 別 なガラスの 必 要 性 キャリアウェーハのコスト そして 標 準 の 半 導 体 プロセス 装 置 との 互 換 性 である それに 代 わるオプションは 仮 のキャリア 基 板 として Si ウェーハを 用 いることである 代 表 的 なプロセス フローを Figure INTC35 に 示 した 薄 化 した Si ウェーハの 端 が 非 常 に 鋭 くなる 問 題 を 防 ぐため ウェ ーハ 端 のトリミングを 行 っている その 結 果 薄 化 したウェーハはキャリアウェーハより 小 さな 径 になる これにより 標 準 的 な 半 導 体 装 置 における よりロバストなウェーハの 取 り 扱 いが 可 能 となる Si (LSI) wafer Etch trim wafer Particle cleaning Si carrier wafer Temporary glue layer coating Wafer to carrier bonding Wafer thinning by grinding Grinding damage removal (Wet/dry/CMP) and cleaning Figure INTC35 Temporary Carrier Strategy for Thin Wafer Post-processing 本 プロセスに 用 いる 仮 接 着 層 は 課 題 が 多 く 3D 集 積 化 スキームを 成 功 させる 重 要 な 技 術 となる 物 性 を 複 雑 に 組 み 合 わせることが 必 要 とされている: 容 易 に 剥 離 できる 機 能 を 有 しつつ プロセスの 最 中 で は 安 定 なこと レーザを 利 用 した 方 法 (ガラス キャリア) 溶 かしてスライドさせる 方 法 ( 熱 可 塑 性 の 接 着 剤 ) 溶 媒 による 溶 解 そして 機 械 的 な 剥 離 ( 引 き 剥 がし)などのように 様 々な 剥 離 機 構 が 検 討 され ているところである o ウェーハ 薄 化

74 66 配 線 研 削 によるウェーハ 薄 化 は 半 導 体 パッケージング 分 野 で 定 評 のあるプロセスである TSV 技 術 で 重 要 なのは Si の 厚 さの 制 御 と 表 面 の 品 質 である 薄 化 したウェーハのトータルの 厚 さばらつきは キャリ アウェーハの 厚 さばらつき 仮 接 着 層 の 厚 さばらつき そして 研 削 装 置 の 精 度 の 組 み 合 わせで 決 まる ウェーハの 機 械 的 な 研 削 のあと ウェーハ 裏 面 には 薄 いダメージ 層 が 残 る このダメージ 層 を 取 り 除 く ために CMP ドライエッチ そしてウェットエッチの 技 術 が 使 われている 既 に TSV が 形 成 された Si ウェーハを 研 削 する 場 合 は ウェーハ 裏 面 で TSV 構 造 が 露 出 することに 特 別 な 注 意 を 払 う 必 要 がある それは 追 加 のプロセスを 必 要 とするであろう o 薄 化 後 のウェーハ 洗 浄 機 械 的 な 裏 面 研 削 はウェーハ 裏 面 にパーティクルが 残 る 可 能 性 がある 裏 面 プロセスのため このウ ェーハをプロセスラインに 再 投 入 することを 受 け 入 れるには 徹 底 したパーティクル 洗 浄 が 絶 対 に 必 要 である o ウェーハ 裏 面 プロセスの 必 要 性 キャリア 上 の 薄 化 ウェーハは 以 下 のプロセスを 行 えるよう 標 準 の 半 導 体 プロセス 装 置 に 対 応 しなけ ればならない: Via-last TSV プロセス(3D-WLP で 特 に 典 型 的 ) ウェーハ 裏 面 の 保 護 膜 形 成 任 意 の 裏 面 再 配 線 プロセス 裏 面 接 続 バンプ 形 成 オプション: 3D ボンディングの 後 に TSV を 形 成 これは 逐 次 プロセスで 3D 集 積 化 を 行 う 方 法 である 3D TSV プロセスの 前 に ウェーハは 貼 り 合 わされる 何 層 も 積 み 上 げて 積 層 化 するため このプロセスが 繰 り 返 される 結 果 として 最 下 層 ウェーハは 以 下 に 示 すすべての TSV プロセスにさらされる: o 下 側 ウェーハ( 積 層 化 ウェーハ)との Wafer-to-wafer 永 久 接 着 プロセス o 全 体 の 厚 さ 変 動 と Si 表 面 の 品 質 がデバイスに 影 響 を 与 える ウェーハの 薄 化 プロセス o 以 降 のプロセスを 行 うため ラインへの 再 投 入 に 必 要 な 薄 化 後 のウェーハクリーニングプロセス o TSV あるいはパッド 配 線 層 形 成 に 必 要 な ウェーハ 裏 面 プロセス 積 層 化 モジュール Wafer-to-wafer ボンディング - ポリマーあるいは 酸 化 膜 による W2W ボンディング - メタル/メタルの W2W ボンディング - メタル/ 酸 化 膜 あるいはメタル/ポリマーの W2W ボンディング Die-to-die あるいは die-to-wafer ボンディング - メタル/メタル 熱 圧 着 ボンディング - Cu/Sn あるいは 類 似 材 料 のマイクロバンプ 接 続 技 術 - その 他 の 方 法 : 例 えば コーキング 3D と TSV 定 義 の 用 語 集 3D interconnect technology: 2D 配 線 製 造 工 程 で 配 線 された 基 本 電 子 回 路 を 縦 に 複 数 積 層 する 技 術 3D Bonding: ウェーハの 表 面 をお 互 いに 2 枚 あるいはそれ 以 上 接 合 する 工 程 3D Stacking: デバイスレベル 間 の 電 気 的 接 合 を 実 現 する 三 次 元 ボンディング 工 程

75 配 線 67 3D-System-In-Package (3D-SIP) : プリント 配 線 板 上 に wire bonding Package-on-package stacking or embedding のような traditional なパッケージング 技 術 を 用 いる 集 積 化 3D-Wafer-Level-Packaging (3D-WLP) : flip-chip による 再 配 線 チップ 内 部 接 続 の 再 配 線 fan-in chip-size packaging そして fan-out で 再 配 置 された wafer chip-scale packaging の 様 な ウェーハ 製 造 工 程 後 の wafer level packaging 技 術 を 用 いた 3D 集 積 化 パッケージ 3D-System-on-chip (3D-SOC) : system-on-chip(soc)として 設 計 された 回 路 で 複 数 のダイを 積 層 し て 実 現 している 3D 接 続 は 異 なるダイレベルの 回 路 タイルと 直 接 接 続 される これらは チップ 上 のグ ローバル 配 線 のレベルで 接 続 する IP-ブロックの 大 規 模 な 使 用 / 再 利 用 にも 利 用 される 3D-Stacked-Integrated-Circuit (3D-SIC) : 3D ダイ 積 層 により 回 路 ブロック 間 を 異 なる 配 線 層 で 直 接 接 続 する 3D 接 続 (Interconnects)はグローバルあるいは 中 間 on-chip 配 線 レベル 上 にある この 3D 積 層 は front-end (devices)と back-end (interconnect) 層 を 交 互 に 組 み 合 わせるのが 特 徴 である 3D-Integrated-Circuit (3D-IC) : 能 動 素 子 の 積 層 を 用 いる 3D アプローチ この 3D 積 層 は 共 通 の バックエンド 積 層 配 線 同 士 を 組 み 合 わせたフロントエンド 素 子 の 積 層 が 特 徴 である Through-Si-Via (TSV) connection: Si サブストレートから 及 び 互 いの 他 の TSV 接 続 から 電 気 的 に 絶 縁 された Si ウェーハ 両 面 の 電 気 的 な 接 続 TSV liner: TSV 導 体 の 周 りの 絶 縁 層 TSV barrier layer: TSV から Si サブストレートへ 金 属 拡 散 を 防 ぐための TSV 中 の 拡 散 防 止 層 Via-first TSV process: デバイス 製 造 プロセスの Si フロントエンド(FEOL Front-End-Of-Line) 前 に TSV を 形 成 すること "Via-middle" TSV process: デバイス 製 造 プロセスの Si フロントエンド(FEOL Front-End-Of-Line) 後 で あり しかも 配 線 プロセスのバックエンド(BEOL Back-End-Of-Line) 前 に TSV を 形 成 すること "Via-last" TSV process: 配 線 プロセスのバックエンド 工 程 (BEOL Back-End-Of-Line) 後 (あるいは 工 程 中 )に TSV を 形 成 すること Wafer-to-Wafer (W2W, WtW) bonding: ウェーハアラインメントとウェーハボンディングによるウェーハ on ウェーハ 技 術 を 用 いて 3D 積 層 する 方 法 積 層 されるダイは 同 一 サイズでウェーハステッピングパ ターンである Die-to-Wafer (D2W, DtW) bonding: ダイをウェーハ 上 にアライメントそしてボンディングする 3D 積 層 方 法 で 積 層 されたダイは 異 なるサイズでもそしてウェーハの 一 部 の 数 チップでも 可 能 である Die-to-Die (D2D, DtD) bonding: チップとチップをアライメント ボンディングして 3D 積 層 する 方 法 積 層 されたダイは 異 なるサイズでも 可 能 である Face-to-Face (F2F, FtF) bonding: ボンディング 後 に ダイもしくはウェーハの 能 動 素 子 面 (= Face - side) どうしを 3D 積 層 する 方 法 Frontside TSVs: ウェーハのトップ 表 面 (ウェーハのデバイス/ 配 線 側 )から TSV の 形 成 を 行 うこと Backside TSVs: 薄 化 されたウェーハのバックサイドから TSV を 形 成 する 方 法 Back-to-Face (B2F, BtF) bonding: ダイのバックサイド 面 とウェーハ 表 面 同 士 を 3D 積 層 方 法 する 方 法 Outer TSV-Aspect ratio: Si サブストレートに 形 成 されるエッチングされる 穴 の 最 大 径 と TSV の 深 さ の 比 Inner TSV-Aspect ratio: TSV の 導 体 の 最 大 径 と TSV の 深 さの 比 (アスペクト 比 liner( 絶 縁 膜 ) 厚 を 除 く)

76 68 配 線 5 新 規 配 線 の 現 実 解 5.1 概 論 微 細 化 を 進 めることで 本 質 的 にトランジスタ 性 能 は 向 上 するが 配 線 性 能 は 劣 化 する このため 革 命 的 な 配 線 の 現 実 解 が 現 れなければ 新 製 品 の 性 能 やパワー 効 率 は 早 晩 配 線 によって 制 限 されることになる 実 際 2013 年 度 ITRS の 書 き 換 えでは Table INTC2 に 示 すように BEOL スケーリングの 歩 調 は 鈍 ってお り ここ 数 年 に 限 っても 現 実 解 のない 状 況 にある 産 業 界 における 課 題 の 緊 急 性 は 明 白 である このように 将 来 を 考 えると グローバル 配 線 とローカル 配 線 は 共 に 膨 大 な 課 題 が 山 積 しているが 可 能 性 のある 現 実 解 は 極 めて 少 ない グローバル 配 線 では マルチコア 設 計 を 採 用 することで 最 大 配 線 長 を 短 くし この 問 題 を 和 らげたが 過 度 の 並 列 処 理 は 応 用 分 野 を 限 定 してしまう 3D 配 線 は 可 能 性 のある 解 であるが コスト インテグレーション 熱 的 安 定 性 および 配 線 信 頼 性 の 課 題 を 未 だに 抱 えている 他 の 実 現 可 能 な 解 として 光 配 線 があるが こ の 章 にて 後 に 詳 細 に 議 論 する ただし pj/bit の 対 価 で 動 作 する 短 距 離 光 配 線 の 現 実 解 は 未 だ 見 えてい ない ローカル 配 線 では Cu 細 線 の 側 壁 や 粒 界 での 電 子 散 乱 により 電 気 抵 抗 率 や 信 号 遅 延 が 急 速 に 増 加 する このような 細 線 における 急 激 な 抵 抗 率 増 加 を 防 ぐには 電 子 散 乱 の 影 響 の 少 ない 新 しい 配 線 材 料 システム が 必 要 である 例 えば シリサイド ナノチューブ ナノワイヤー あるいはグラフェンナノリボンにおける 一 次 元 のバリスティック 輸 送 現 象 はこの 解 に 成 りうる バリスティック 輸 送 は 微 細 寸 法 では 有 利 な 点 が 多 いが こ れらのオプションの 多 くは Cu や CNT らの 輸 送 体 に 繋 がることで 原 理 的 に 量 子 抵 抗 を 有 する この 量 子 抵 抗 の 他 に 技 術 的 に 考 えると 新 たな 界 面 基 板 やインテグレーションのために 付 加 的 な 電 導 体 を 用 い る 必 要 であるので これらはバリスティック 輸 送 体 を 導 入 する 障 壁 となる FET に 代 わる 新 たなトランジスタやスィッチを 探 求 することは ローカル 配 線 に 新 たな 輸 送 体 を 導 入 する 機 会 を 与 え 同 時 に このための 避 けられない 重 要 課 題 である 例 えば グラフェンを 用 いたスィッチは グラ フェンを CMOS トランジスタの 有 望 な 代 替 技 術 と 考 えるならば グラフェン 自 体 をローカル 配 線 に 使 うことは 合 理 的 である これらの 応 用 では スィッチとローカル 配 線 が 一 体 化 し デバイスと 配 線 機 能 を 兼 ねるネイテ ィブデバイス 配 線 が 必 要 である 言 い 換 えると 隣 のスィッチと 効 率 的 に 繋 がっていないスィッチを 開 発 して も システムパフォーマンスは 向 上 しないと 思 われる この 点 に 関 しては 本 章 Si CMOS Replacement の 節 で 詳 しく 議 論 する 新 規 配 線 には Cu の 置 き 換 えを 考 えるものとネイティブデバイス 配 線 の 2 種 類 に 分 類 できる Cu 代 替 オ プションでは カーボン 系 や 光 学 材 料 等 未 だ 開 発 中 にある 材 料 を 用 いる これらのオプションを 含 めて Cu より 電 子 散 乱 の 少 ない 金 属 は 顕 著 な 障 害 がない 代 替 オプションになり 得 る 10 nm ノードではバリアメタ ルがダマシントレンチ 領 域 の 面 積 的 40% 以 上 になると 予 想 される ネイティブデバイス 配 線 は 新 たなスィッ チ 機 能 に 依 存 するので 極 めて 探 索 段 階 にあるが FET スィッチの 置 き 換 えを 目 指 してロードマップを 正 しい 解 に 向 けるためには それらの 特 徴 を 考 慮 することは 本 質 的 に 重 要 である Table INTC10 には 13 種 類 ( 訳 者 注 : 実 際 は 12 種 類 )の 新 規 配 線 に 関 する 主 な 特 長 と 課 題 を 纏 めた

77 配 線 69 Table INTC10 Advantages and Concerns for Cu Extensions, Replacements and Native Device Interconnects Application Option Potential Advantages Primary Concerns Cu Replacements: Other metals (Ag, silicides, stacks) Potential lower resistance in fine geometries Grain boundary scattering, integration issues, reliability Nanowires Ballistic conduction in narrow lines Quantum contact resistance, controlled placement, low density, substrate interactions Carbon Nanotubes Ballistic conduction in narrow lines, electromigration resistance Quantum contact resistance, controlled placement, low density, chirality control, substrate interactions, parametric spread Graphene Nanoribbons Ballistic conduction in narrow films, planar growth, electromigration resistance Quantum contact resistance, control of edges, deposition, etch stopping, and stacking, substrate interactions Optical (interchip) High bandwidth, low power and latency, noise immunity Connection and alignment between die and package, optical /electrical conversion efficiencies Optical (intrachip) Latency and power reduction for long lines, high bandwidth with WDM Benefits only for long lines, need compact components, integration issues, need WDM, Energy cost Wireless Available with current technology, parallel transport medium, high fan out capability Very limited bandwidth, intra-die communication difficult, large area and power overhead Superconductors Zero resistance interconnect, high Q passives Cryogenic cooling, frequency dependent resistance, defects, low critical current density, inductive noise and crosstalk Native Device Interconnects: Nanowires No contact resistance to device, ballistic transport over microns Quantum contact resistance to Cu, substrate interactions, fan out/branching and placement control Carbon Nanotubes No contact resistance to device, ballistic transport over microns Quantum contact resistance to Cu, fan out/branching and placement control Graphene Nanoribbons No contact resistance to device, ballistic transport over microns, support for multifanouts Quantum contact resistance to Cu, deposition and patterning processes. Spin Conductors- Si(Mn), Ga(Mn)As Long diffusion length for spin excitons Low T requirements, low speed, surface magnetic interactions 5.2 銅 (CU) 配 線 の 置 き 換 え バルクの Cu の 電 気 抵 抗 率 は 銀 を 除 くすべての 従 来 の 金 属 より 低 いため Cu の 置 き 換 えとして 有 望 な 金 属 の 適 性 は 電 気 抵 抗 性 に 対 するサイズ 効 果 が 少 ないという 条 件 で 初 期 的 には 決 定 される 即 ち バルクの 特 性 では Cu の 電 気 抵 抗 率 には 劣 るが ロードマップ 終 焉 時 期 に 対 応 する 微 細 線 幅 では Cu よりも 優 れて いる 可 能 性 がある 代 替 材 料 が 研 究 されてきている また Cu ではない 金 属 の 多 層 の 超 薄 膜 や 多 層 ナノ 配 線 構 造 において 新 規 の 量 子 効 果 が 従 来 の Cu/バリア 膜 系 に 比 べて 優 れた 性 能 を 発 揮 するかもしれない 可 能 性 のある 選 択 肢 を 以 下 に 記 載 する 金 属 シリサイド ニッケルモノシリサイド 導 電 体 (~10μΩ-cm)のバルクの 電 気 抵 抗 は 単 結 晶 ナノワイヤー(SCNWs)のワイ ヤーの 横 方 向 のサイズが 微 細 化 されて 50nm に 近 づいても 影 響 されないことが 幾 人 かの 研 究 者 達 によって 示 されている[1-3] これは NiSi の 小 さい 電 子 の 平 均 自 由 行 程 (~5nm)に 依 る 15nm という 小 さい 直 径 の ニッケルシリサイド SCNW においても バルクの 抵 抗 値 が 維 持 されることが 現 在 までにひとつのグループに よって 報 告 されており[3] このサイズでの 多 結 晶 Cu 配 線 に 期 待 される 抵 抗 値 より 有 利 になると 比 較 されて いる 本 来 的 にシリコン 系 デバイスと 集 積 化 が 容 易 であり 広 く FEOL 工 程 でニッケルシリサイドコンタクトが 普 及 しているため 今 後 も 関 心 は 高 いと 予 想 される 他 のニッケルシリサイド 相 も 50nm を 切 る 単 結 晶 ナノ ワイヤーの 領 域 において バルク 同 様 の 電 気 抵 抗 を 示 す 直 径 40nm 程 度 の NiSi 2 単 結 晶 ナノワイヤーは バルクの NiSi 2 の 抵 抗 値 と 一 致 する 30μΩ-cm の 実 効 抵 抗 値 を 示 す 同 様 に 34nm 程 度 の 直 径 の Ni 2Si 単 結 晶 ナノワイヤーは 21μΩ-cm の 実 効 抵 抗 値 を 示 す[5] シリコンナノワイヤーへの ALD 法 による NiSi 2

78 70 配 線 の 超 薄 膜 の 環 状 製 膜 においても バルクに 近 い 抵 抗 値 (~35μΩ-cm)が 得 られている[6] これらの 材 料 は 単 結 晶 であるので 化 学 両 論 的 にモノシリサイド(NiSi)ナノワイヤーは 最 大 電 流 密 度 が A/m 2 以 上 の 値 を 示 している 幾 つかのグループによって ニッケルシリサイドナノワイヤーのバルク 同 様 の 電 気 抵 抗 率 の 安 定 性 が 確 認 されているが 11nm ノードでの Cu 配 線 の 実 効 抵 抗 値 に 近 づくことが 可 能 な 配 線 工 程 の Cu の 代 替 として 特 に 適 正 なものは モノシリサイド 相 だけである トップダウンのニッケルモノシリサイドワイヤー 形 成 の 重 要 な 実 証 例 が 最 近 報 告 され 実 験 的 な 関 心 が 持 続 的 に 払 われるだろう パターン 化 されたシリコンのニッケルシリサイド 化 により 25nm 以 下 の 幅 の 単 結 晶 ニッ ケルシリサイドワイヤーを 効 率 的 に 得 ることが 出 来 る この 手 法 で 形 成 されたワイヤー 幅 >50nm のワイヤー のバルク 様 の 抵 抗 値 (~15μΩ-cm)が 報 告 されている[4] 一 方 ワイヤー 幅 30nm のニッケルシリコンワ イヤーは ~23μΩ-cm を 示 す[7] 対 照 的 に 断 面 が 23 31nm 2 と nm 2 の 同 様 な 方 法 で 形 成 された ニッケルシリサイドナノワイヤーの 測 定 された 電 気 抵 抗 率 は 19.5μΩ-cm と 19.7μΩ-cm とほとんど 変 化 がな かった[8] できあがったニッケルシリサイド 配 線 構 造 において 10μΩ-cm 程 度 以 下 の 抵 抗 率 が 保 持 される かどうかは まだ 検 証 すべき 課 題 であるか この 報 告 ならびに 以 前 に 報 告 された 論 文 からは ニッケルシリ サイドの 比 較 的 低 いサイズ 依 存 性 を 明 確 に 示 している 銀 分 献 に 最 近 報 告 されたように 大 きな 平 均 自 由 工 程 (~58nm)を 有 するため 銀 の 薄 膜 やナノワイヤー 構 造 での 電 気 抵 抗 率 は サイズ 効 果 により 本 質 的 に 増 加 する[9-11] さらに 最 近 の 報 告 では 平 均 のワイヤ ーの 直 径 が 40-50nm の 単 結 晶 FCC 銀 ワイヤーの 平 均 抵 抗 率 が 11.9μΩ-cm であることが 示 されており こ の 傾 向 を 追 認 した[12] まだ 魅 力 的 な 抵 抗 値 を 示 す ナノスケールの 銀 ワイヤーの 例 がある 直 径 100nm を 切 る 単 結 晶 銀 ナノワイヤーでは 抵 抗 率 ~2.6μΩ-cm を 示 した[13] 比 較 的 ワイヤーの 直 径 が 大 きいが この 値 の 抵 抗 率 はプロセスによる 影 響 が 大 きいことを 示 しており 銀 ナノラインの 研 究 を 続 ける 動 機 となるで あろう 金 属 フォノン 工 学 電 子 -フォノン 散 乱 は 室 温 またはそれ 以 上 の 温 度 で 20-40%の 範 囲 で 電 気 抵 抗 に 寄 与 する[14, 15] 32nm 以 細 線 幅 のワイヤーでは 表 面 散 乱 が 主 要 因 であると 予 想 されるが 電 子 -フォノン 散 乱 の 低 減 も 追 及 すべきである 金 属 量 子 井 戸 は 適 切 な 構 造 と 材 料 を 選 べば 電 子 -フォノン 結 合 を 低 減 できることが 示 さ れている バナジウム 基 板 上 の 銀 超 薄 膜 (3nm)ではバルクの 銀 に 対 して 38%の 電 子 -フォノン 結 合 の 低 減 が 認 められる[16] この 結 果 は 室 温 での 局 在 する 状 態 密 度 と 表 面 散 乱 への 影 響 により フォノン 誘 起 電 気 抵 抗 率 の 約 30%の 低 減 あるいは 全 体 の 電 気 抵 抗 率 の 約 10%の 低 減 に 換 算 できる[17] 同 様 に Cu(111) 基 板 上 の 13nm の 銀 薄 膜 では 同 様 な 電 気 抵 抗 率 の 低 減 効 果 をもたらす バルクに 比 較 して 42%の 電 子 -フォノン 結 合 の 低 減 が 認 められる[18] 不 完 全 は 金 属 - 金 属 界 面 が 電 子 -フォノン 結 合 による 抵 抗 率 への 恩 恵 を 覆 い 隠 してしまうかも 知 れないけれど そのような 多 層 構 造 に 対 する 継 続 的 な 研 究 の 必 要 性 が 強 調 されるべきである 金 属 の 幾 何 学 的 共 鳴 量 子 拘 束 効 果 は 一 般 的 に 電 気 抵 抗 率 に 関 しては 悪 影 響 を 及 ぼす そのような 効 果 は 典 型 的 には 状 態 密 度 の 制 限 やサブバンド 間 散 乱 による 電 子 散 乱 ( 表 面 かフォノンに 起 因 する)を 増 大 する[17, 19] これらの 効 果 は 電 子 の 量 子 状 態 波 ベクトルの 有 限 サイズ 制 限 に 起 因 する[20] 明 確 なタイプの 量 子 の 拘 束 効 果 は 電 子 表 面 散 乱 に 基 づいて 予 測 されている[21-23] ひとつの 複 雑 さは 横 断 する 波 動 関 数 (またはその 誘 導 関 数 )の 波 節 と 偶 然 に 一 致 した 層 界 面 における 多 層 膜 の 幾 何 学 的 共 鳴 の 存 在 である 幾 つかの 共 鳴 現 象 が 現 在 と 将 来 のクラッディング 技 術 に 沿 って 1~3nm の 範 囲 において 個 々の 層 の 厚 みに 対 して 存 在 する 終 端 効 果 は 擬 似 弾 道 輸 送 (フォノン 誘 起 散 乱 が 無 視 できる)を 可 能 とするサブバンド 間 散 乱 の 予 期 された 低 減 を 意 味 する そのような 効 果 の 研 究 は Cu やカーボンによる 配 線 の 代 替 技 術 と 同 様 従 来 の 金 属 において 研 究 されている よりコアシェル 型 のナノライン 構 造 が 研 究 されるにつれて 発 展 するであろう

79 5.2.5 カーボンナノチューブ 配 線 71 カーボンナノチューブ(CNT)は 大 きな 電 子 の 平 均 自 由 工 程 機 械 強 度 高 い 熱 伝 導 性 や 大 電 流 を 流 す 能 力 のため 将 来 の 技 術 として 大 規 模 集 積 回 路 の 配 線 としての 応 用 に 主 要 な 研 究 的 興 味 を 引 き 起 こして いる CNT は 単 層 (SWCNT)または 多 層 (MWCNT)がある 単 層 CNT はただひとつのグラフェン 殻 から なっており その 直 径 は 0.4nm から 4nm で 典 型 的 には 1.4nm である[24, 25] 多 層 CNT は 複 数 の 同 心 円 状 のグラフェン 円 筒 からなっており その 外 径 は 数 nm から 100nm まで 変 化 し[25, 26] 層 の 間 隔 は 0.32nm であり グラファイトのグラフェンシートの 間 隔 と 同 じである[25] 単 層 CNT のグラフェン 円 筒 や 多 層 CNT を 形 成 する 殻 は 金 属 または 半 導 体 的 な 伝 導 性 いずれかを 示 し これは 幾 何 学 的 な 構 造 ( 対 称 性 ) に 依 存 する しかしながら 大 口 径 の 半 導 体 的 な 伝 導 性 を 示 す 殻 (D>5nm)は 電 子 の 熱 エネルギーと 同 等 か 小 さいバンドギャップを 有 するため 室 温 では 導 電 体 のように 振 舞 う[25-27] CNT の 利 点 CNT はそれらの 一 次 元 的 な 性 質 や 特 異 なグラフェンのバンド 構 造 や 炭 素 間 の 強 固 な 共 有 結 合 により Cu/Low-κ と 比 べて 幾 つかの 利 点 を 提 供 する: 1. 高 電 導 性 -それらの 一 次 元 的 性 質 により CNT における 電 子 散 乱 の 位 相 空 間 が 限 られており バ ルクの Cu での 40nm と 対 照 的 に 高 品 質 の CNT ではマイクロメーターの 範 囲 の 電 子 の 平 均 自 由 行 程 を 有 している[28] 緻 密 に 充 填 された CNT の 電 導 率 は 微 細 化 された 長 配 線 の Cu 配 線 より 高 い しかしながら 短 い CNT の 束 の 導 電 率 は 量 子 抵 抗 により 限 られた 値 である 金 属 電 導 の 単 層 CNT は 二 つの 電 導 チャネルを 有 しており その 量 子 抵 抗 は 6.5 kω である[25, 29] 2. エレクトロマイグレーション 耐 性 -グラフェンにおける 強 固 な sp 2 炭 素 結 合 により 非 常 に 強 い 機 械 強 度 を 示 し Cu での 10 6 A/cm 2 とは 対 照 的 な 10 9 A/cm 2 という 非 常 に 大 きな 電 流 伝 導 能 力 を CNT 配 線 に 付 与 している[30] しかしながら 実 用 上 は CNT 配 線 における 最 大 電 流 密 度 は CNT と 金 属 とのコンタクトで 制 限 されるだろう 3. 熱 伝 導 性 - 長 軸 方 向 の 独 立 した CNT の 熱 伝 導 性 は 6000 W/mK のオーダーであると 理 論 的 なモデルから[31]と 多 孔 性 の 束 の 実 測 値 の 外 挿 から[32] 示 唆 されるように 非 常 に 高 いと 期 待 され る CNT における 熱 伝 導 は 非 常 に 異 方 性 であり 横 方 向 の 伝 導 性 は 長 軸 方 向 の 伝 導 性 に 比 べ て 数 桁 低 い CNT 集 積 化 の 選 択 肢 低 抵 抗 で 短 い 配 線 すなわち 第 一 配 線 レベルでの 電 力 接 地 線 などが 必 要 とされるところ 以 外 において ほとんどの 層 の 配 線 階 層 において Cu/Low-κ を 置 き 換 えることが 可 能 である[33] CNT は 以 下 の 形 態 の オンチップ 配 線 の 応 用 に 集 積 化 可 能 である: 1. 単 層 CNT 束 - 電 極 と 高 品 位 のコンタクトを 有 する Cu/Low-κ 配 線 と 同 じ 次 元 の 高 密 度 単 層 CNT の 束 は 配 線 抵 抗 の 低 抵 抗 化 と Cu ワイヤーのサイズ 効 果 の 問 題 を 扱 うために Cu/Low-κ 配 線 を 置 き 換 える 理 想 的 な 候 補 である この 集 積 化 の 選 択 肢 は RC 遅 延 が 支 配 的 な 長 配 線 において 大 幅 な 遅 延 改 善 をもたらす[24, 33-35] 2. 数 層 の 単 層 CNT 配 線 - 単 層 CNT の 数 層 の 配 列 は 50% 以 上 CNT の 容 量 を 低 減 することが 可 能 であるとともに 隣 接 する 配 線 間 の 静 電 的 結 合 を 大 幅 に 低 減 可 能 である このことは ローカル 配 線 の 遅 延 と 電 力 消 費 を 減 らす 助 けとなる この 配 列 構 造 は 遅 延 が 容 量 負 荷 が 支 配 的 であり 抵 抗 ではない 短 いローカル 配 線 に 対 して 特 に 興 味 が 持 たれる[36] 3. 大 直 径 多 層 CNT- 適 切 な 接 続 が 形 成 されれば 多 層 CNT 内 のすべての 殻 は 電 導 性 を 示 すこと が 理 論 と 実 験 から 証 明 されている[26, 27, 37] 高 品 位 な 多 層 CNT では 平 均 自 由 行 程 が 非 常 に 大 きく[26, 38] 理 論 的 モデルによれば 長 い 大 直 径 の 多 層 CNT は Cu を 凌 駕 する 可 能 性 がある さらに 単 層 CNT と 同 程 度 のレベルのチューブ 内 の 欠 陥 であり すべての 殻 に 適 切 に 金 属 コンタ クトが 形 成 されれば 単 層 CNT さえ 凌 駕 する 可 能 性 がある[39] そのような 多 層 CNT はセミグロー バル 配 線 や グローバル 配 線 に 適 している 最 近 ギガヘルツ 帯 で 動 作 する 多 層 CNT 配 線 が 実 証 された これらの 実 験 における 多 層 CNT の 導 電 率 は 主 に 欠 陥 密 度 の 高 さや 外 殻 と 内 殻 の 直 径 の 比 が 小 さいという 理 由 のため 理 論 モデルよりかなり 低 かった[40]

80 72 配 線 CNT の 課 題 CNT を 配 線 として 利 用 することができるようになるには 取 り 組 むべき 技 術 的 な 課 題 が 数 多 くある CNT の 集 積 化 に 対 する 重 要 な 課 題 は 以 下 の 通 りである: 1. CNT の 高 密 度 集 積 化 を 達 成 する-CNT 束 は 十 分 に 密 であれば 導 電 率 で Cu ワイヤーを 凌 駕 でき る 分 散 した 単 層 CNT はチューブ 間 の 距 離 が 一 定 の 0.34nm で 規 則 的 な 高 密 度 の 配 列 を 形 成 する ことが 出 来 ることが これまでに 報 告 されている[36]が 面 内 で 成 長 した CNT はきわめて 低 密 度 であ る Table INTC11 には 導 電 率 で 最 小 サイズの Cu ワイヤーを 凌 駕 するのに 必 要 となる 金 属 電 導 の 単 層 CNT の 最 小 密 度 を 記 載 した 技 術 が 進 化 して Cu ワイヤーに 対 してサイズ 効 果 がより 厳 しくなる につれて 最 小 密 度 はより 小 さくなる 触 媒 の 材 料 と 粒 子 サイズがナノチューブの 径 と 密 度 を 決 める 鍵 となるパラメータである フォノン 律 速 の 電 子 平 均 自 由 行 程 が 室 温 で 1μm である 単 層 CNT の 直 径 は 1nm であると 仮 定 さ れる[41-43] コンタクト 抵 抗 は 単 層 CNT の 真 性 抵 抗 の 10% 以 下 と 仮 定 され このことは 束 の 長 さ が 長 いほど 大 きなコンタクト 抵 抗 が 許 容 できることを 意 味 する 密 に 充 填 された 直 径 1nm の 金 属 電 導 の 単 層 CNT の 理 想 的 な 密 度 は 0.66 nm -2 である 2. 金 属 単 層 CNT の 選 択 成 長 - 現 在 開 発 されている 単 層 CNT の 成 長 プロセスでは 対 称 性 の 制 御 が できない 統 計 的 にランダムな 対 称 性 を 有 する 単 層 CNT の 1/3 だけが 金 属 的 である[25] 半 導 体 的 なチューブに 対 する 金 属 的 なチューブの 割 合 を 増 加 させることで この 割 合 に 比 例 して 単 層 CNT 束 の 導 電 性 は 増 す 半 導 体 的 単 層 CNT は 配 線 応 用 にとっては 致 命 的 ではなく トランジスタへの 応 用 と 対 照 的 に 対 称 性 に 対 する 完 全 な 制 御 の 必 要 はない 3. 方 向 を 揃 えた CNT の 成 長 - 現 在 特 に 挑 戦 的 な 段 階 は 水 平 方 向 での 制 御 された CNT の 成 長 で ある 垂 直 な 面 への 触 媒 の 配 置 が 水 平 方 向 への 成 長 を 垂 直 方 向 の 成 長 よりさらに 困 難 にしている しかしながら 水 平 方 向 への 成 長 に 関 して 幾 許 かの 進 捗 がある[44] 4. 低 抵 抗 コンタクトの 達 成 - 金 属 電 極 の CNT へのコンタクトは 反 射 効 果 を 引 き 起 こし コンタクト 抵 抗 を 生 じる これらの 反 射 は 電 極 から CNT への 電 子 の 波 動 関 数 の 不 十 分 な 結 合 により 生 じる 有 望 な 理 想 に 近 いコンタクトが 実 験 的 に 実 現 されてきている[27, 45] しかし 大 きなコンタクト 抵 抗 を 示 す 多 数 の 報 告 が 良 好 なコンタクトを 形 成 するためには 技 術 的 な 課 題 が 多 いことを 示 している 束 にお ける 単 層 CNT 間 [36]とまた 多 層 CNT 内 の 殻 間 の 弱 いチューブ 間 相 互 作 用 のため[25, 37] すべ てのグラフェン 殻 間 の 直 接 的 な 結 合 と 金 属 的 なコンタクトが 要 求 される 垂 直 な CNT 束 の CMP がこ の 要 求 に 対 する 解 となるであろう[46, 47, 49, 50] 5. 無 欠 陥 CNT の 達 成 -CNT は 吸 着 分 子 に 非 常 に 敏 感 である さらに 安 定 な 特 性 を 有 する CNT を 生 成 するための 技 術 的 な 課 題 として CNT の 表 面 に 吸 着 した 分 子 が CNT の 電 気 抵 抗 に 影 響 するこ とが 見 出 されている[38, 48] 6. 配 線 工 程 と 整 合 性 のある CNT 成 長 - 報 告 されているもっとも 高 品 位 な CNT は 600 以 上 の 温 度 で 成 長 されており この 成 長 温 度 は シリコン 技 術 には 相 容 れない 温 度 である 400 程 度 の 温 度 での CNT 成 長 を 含 む 有 望 な 進 捗 が 報 告 されている[27] しかしながら 成 長 温 度 が 低 下 するにつれて 典 型 的 には 欠 陥 密 度 が 増 加 する さらに CNT 配 線 は すべての Cu 配 線 を 置 き 換 えそうにはない それゆえ CNT 配 線 形 成 技 術 は Cu/Low-κ 技 術 と 整 合 性 が 必 要 とされる CNT 配 線 は 個 別 には 有 望 であることが 示 されているが それらを 実 際 の 回 路 に 成 功 裏 に 結 びつける 努 力 は 少 ない CNT によるデバイスや 配 線 が 大 規 模 集 積 回 路 の 本 流 技 術 として 導 入 される 以 前 に 幾 つかの プロセス 的 信 頼 性 的 な 課 題 に 取 り 組 むことが 必 要 である このことが CNT を 研 究 のための 興 奮 させる 開 かれた 分 野 にしている 精 製 CNT の 分 離 ナノチューブの 長 さ 対 称 性 望 ましい 配 列 の 制 御 高 密 度 成 長 低 温 成 長 や 高 品 位 なコンタクトなどの 問 題 がまだ 十 分 には 解 決 されてはいない

81 配 線 73 Table INTC11 Minimum Density of Metallic SWCNTs Needed to Exceed Minimum Cu Wire Conductivity Year of Production MPU/ASIC Metal 1 ½ Pitch (nm)(contacted) Cu Effective Resistivity (μω-cm) CNT Minimum Density (nm -2 ) Year of Production MPU/ASIC Metal 1 ½ Pitch (nm)(contacted) Cu Effective Resistivity (μω-cm) CNT Minimum Density (nm -2 ) グラフェンナノリボン 六 方 晶 系 で 充 填 された 炭 素 原 子 の 単 原 子 層 であるグラフェンは 厳 密 な 二 次 元 材 料 である[49] グラフェン の 研 究 は 2004 年 に 始 めて 分 離 されて 以 来 すでにカーボンナノチューブで 以 前 に 発 展 していた 技 術 的 な 知 識 のおかげで 急 速 に 進 歩 した グラフェンナノリボン(GNR)は 展 開 された CNT と 考 えられ それらの 性 質 は CNT のものと 類 似 している GNR はその 幾 何 学 的 構 造 により 金 属 から 半 導 体 までの 状 態 を 取 る 事 が 出 来 る[50-52] 高 品 位 なグラフェンシートは CNT と 同 程 度 の 平 均 自 由 行 程 を 有 しており[53] 単 層 CNT で 報 告 されていると 同 程 度 の 大 電 流 密 度 の 電 流 を 流 すことができる[54] GNR が CNT に 勝 る 大 きな 利 点 は 単 純 な 生 産 プロセスである GNR の 電 気 特 性 は 幅 と 幾 何 学 構 造 により 決 定 される[52] 強 結 合 近 似 では ジグザグ 形 状 のエッジを 有 す る GNR は 金 属 電 導 ( 零 バンドギャップ)であると 予 測 される[52] 一 方 アームチェアー 型 GNR では 幅 方 向 の 炭 素 原 子 の 数 が 3p+2(p は 整 数 )で 表 される 場 合 金 属 的 である 幅 方 向 に 3p または 3p+1 の 炭 素 原 子 を 有 するアームチェアー 型 GNR は 半 導 体 であり バンドギャップは 幅 の 逆 数 に 比 例 する[52] 現 在 ま でに 測 定 された すべての GNR が 幅 の 逆 数 に 比 例 するバンドギャップを 有 する 半 導 体 であることが 示 され ている[53, 55, 56] すべての 測 定 された GNR のバンド 構 造 におけるギャップの 存 在 は ほとんど エッジラ フネスに 帰 属 されている[58, 59] 第 一 原 理 計 算 によっても アームチェアー 型 GNR のエッジに 沿 った 炭 素 原 子 の 間 隔 が 2D グラフェンの 炭 素 原 子 の 間 隔 よりも 3.5% 小 さいことが 示 されている[57] この 格 子 定 数 における 変 化 により 3p+2 GNR のバンド 構 造 に 小 さなギャップが 形 成 される[57] 同 様 に 第 一 原 理 計 算 に おいて スピン 自 由 度 が 考 慮 されると ジグザグ 型 GNR のバンド 構 造 においてもギャップが 現 れる[57] 金 属 的 な GNR を 得 ることは 出 来 ないかもしれないという 事 実 が GNR の 配 線 としての 可 能 性 を 除 外 するも のではない[58] ITRS の 終 末 に 予 想 されている 11nm の 配 線 幅 に 対 してさえ 半 導 体 的 な GNR のバンド ギャップは 0.1eV より 小 さい[58] グラフェン 層 におけるフェルミエネルギーはしばしば 0.1eV より 高 い フ ェルミエネルギーがバンドギャップの 半 分 より 大 きい 数 kbt である 場 合 第 一 伝 導 帯 は 十 分 な 密 度 となる [58] そのような GNR にとって 金 属 と 半 導 体 GNR の 理 想 的 な 電 導 度 は 無 視 できる 差 となるであろう GNR の 利 点 GNR は Cu/Low-κ 配 線 に 比 較 して 幾 つかの 利 点 を 有 する: 1. 高 い 電 導 性 -カーボンナノチューブ 同 様 純 粋 な 高 品 位 のグラフェンの 平 均 自 由 行 程 は 極 めて 大 きい グラフェンでは 数 百 nm の 大 きさの 平 均 自 由 行 程 をもつものが 報 告 されている[53] 基 板 起 因 の 欠 陥 が 電 子 散 乱 の 支 配 的 要 因 であると 信 じられており 宙 吊 りのグラフェンの 場 合 に 数 程 度 の 大 きさの 平 均 自 由 行 程 に 相 当 する 高 い 易 動 度 が 報 告 されている[59] 相 互 作 用 のない 平 滑 なエッジでフェルミエネルギーが 0.2eV の GNR の 積 層 体 の 電 導 度 は とくに 長 配 線 かつ 断 面 積 の 小 さい Cu ワイヤーには 勝 ると 予 測 されている[59] 2. エレクトロマイグレーション 耐 性 -グラフェンの 強 い sp 2 炭 素 結 合 は 非 常 に 高 い 機 械 強 度 と Cu の 10 6 A/cm 2 の 電 流 密 度 [33]とは 対 照 的 に GNR での 10 9 A/cm 2 という 非 常 に 大 きな 許 容 電 流 値 に

82 74 配 線 結 びついている しかしながら 実 用 的 には コンタクトが GNR 配 線 の 最 大 電 流 密 度 を 制 限 するで あろう 3. 熱 伝 導 率 - 面 内 での 宙 吊 りされた 単 層 グラフェンシートの 熱 伝 導 率 は 5300 W/mK と 測 定 されてい る[60] この 値 は 単 層 CNT 束 に 報 告 されているもっとも 高 い 値 に 匹 敵 する[60] GNR 集 積 化 の 選 択 肢 GNR は 以 下 の 二 つの 形 態 で チップ 上 の 配 線 応 用 として 集 積 化 される 可 能 性 がある: 1. 多 積 層 GNR 配 線 -Cu ワイヤーの 電 導 率 がサイズ 効 果 により 重 度 に 制 限 される 微 細 な 配 線 において とくに 配 線 抵 抗 を 低 減 するために 使 われる 可 能 性 がある Bernal 積 層 の 場 合 グラフェン 層 は ABAB の 規 則 的 な 配 列 を 有 する[61] この 場 合 層 同 士 は 電 気 的 に 結 合 しており グラファイトを 形 成 する グラファイトは 独 立 したグラフェンシートの 魅 力 的 な 電 気 的 性 質 を 失 う それ 故 に 電 子 的 な 分 離 層 を 多 層 GNR に 設 けることは 重 要 である 積 層 欠 陥 は C 面 4H-SiC 基 板 [61]と CVD 成 長 し た 多 層 グラフェン 膜 [62] 上 のエピタキシャルのグラフェン 成 長 に 対 して 報 告 されている フェルミエネ ルギーのシフトは 予 想 どおり グラフェン- 基 板 界 面 での 電 荷 トラップにより 生 じるが スクリーニング 効 果 によりグラフェン 積 層 の 底 部 の 数 層 のグラフェン 層 に 限 られる[63] エッジ 官 能 化 [64]のような 方 法 が GNR 積 層 のすべての 層 のフェルミエネルギーをシフトさせるためには 適 用 されなければならな い 2. 数 層 GNR 配 線 -GNR の 数 層 の 配 列 は 50% 以 上 程 度 CNT による 配 線 の 容 量 を 低 減 するために 使 用 でき 隣 接 する 配 線 との 静 電 的 な 結 合 をかなり 低 減 できる これは 数 層 配 列 の 単 層 CNT と 類 似 し ている 容 量 の 低 減 は ローカル 配 線 の 遅 延 と 消 費 電 力 を 低 減 する 助 けとなる この 配 列 は 特 に 抵 抗 負 荷 ではなく 容 量 負 荷 が 支 配 的 な 短 いローカル 配 線 でとくに 興 味 が 持 たれている GNR の 課 題 GNR が 配 線 として 利 用 されるようになる 前 に 取 り 組 むべき 技 術 的 な 課 題 が 数 多 くある GNR の 集 積 化 に 直 面 する 重 要 な 課 題 は 以 下 のとおりである: 1. グラフェン 合 成 - 任 意 の 基 板 上 への 高 品 位 のグラフェンシートのウェーハレベルでの 合 成 は 主 要 な 課 題 として 残 っている SiC 基 板 上 のエピタキシャルなグラフェンの 成 長 はウェーハレベル 成 長 の 可 能 性 を 有 しているが 絶 縁 材 料 上 にグラフェンが 必 要 とされる 配 線 応 用 の 適 当 な 選 択 肢 とはならない 最 近 グラフェン 膜 が 2 層 触 媒 膜 (Co と TiN)と 510 程 度 の 温 度 での 化 学 気 相 成 長 (CVD)を 用 い て 得 られている[65] この 方 法 では 多 層 グラフェンが 垂 直 に 成 長 した 多 層 CNT の 頭 頂 部 に 成 長 し ている 得 られたグラフェン 膜 は 非 常 に 平 坦 であり 厚 みは Co 膜 の 厚 みで 決 定 される[65] グラフェ ンの 連 続 膜 は Ni 多 結 晶 上 の 常 圧 CVD によっても 形 成 できる[62] ニッケル 膜 のウェットエッチ 後 CVD により 形 成 された 膜 の 種 々の 基 板 へ 転 写 に 成 功 している[62] シート 抵 抗 が 280Ω/cm 2 程 度 と 小 さく 易 動 度 が 3,700 cm 2 V -1 S -1 程 度 と 高 いグラフェン 膜 がニッケル 上 に CVD 成 長 したグラフェン 膜 をシリコン 基 板 に 転 写 するという 同 様 なアプローチで 得 られている[66] グラフェン 形 成 のウェーハ レベルの 方 法 は 依 然 として グラフェンデバイスの 大 規 模 集 積 化 に 必 要 な 均 一 性 とグレインサイズ が 不 十 分 である 2. 平 坦 なエッジを 有 するパターン 化 された GNR- 幅 の 狭 い GNR はエッジの 品 質 に 極 めて 敏 感 である なぜなら パターン 化 されていない 二 次 元 のグラフェンの 固 有 の 平 均 自 由 行 程 が GNR の 幅 と 同 程 度 になった 場 合 電 子 は 頻 繁 にエッジと 相 互 作 用 するようになるからである グラフェン 固 有 の 平 均 自 由 行 程 は 数 百 nm 程 度 と 大 きくできるので 興 味 の 対 象 であるほとんどの 配 線 幅 に 対 しては エ ッジでの 散 乱 により 実 効 的 な 平 均 自 由 行 程 が 決 定 されることになる エッジ 散 乱 を 伴 う 平 均 自 由 行 程 は エッジの 品 質 ( 粗 さ) GNR の 幅 と 縦 方 向 と 横 方 向 の 電 子 速 度 の 比 に 依 存 する エッジの 粗 さはエッジでの 後 方 散 乱 確 率 により 決 まる リソグラフィーによりパターン 形 成 された GNR の 後 方 散 乱 確 率 は 1 であるが[50] 化 学 的 に 得 られた 比 較 的 平 坦 なエッジを 有 する GNR の 後 方 散 乱 確 率 は 0.2 であることが 報 告 されている[67] GNR の 幅 と 速 度 の 縦 横 比 の 積 はエッジと 作 用 するまでの 電 子 の 平 均 移 動 長 を 決 定 する[50, 67, 68] ディラックポイントから 遠 く 離 れたサブバンドは より 小 さい 縦 横 比 を 有 しており それ 故 短 い 平 均 自 由 行 程 を 有 する

83 配 線 エッジの 官 能 化 またはドーピング- 基 板 に 近 接 するグラフェン 層 のフェルミエネルギーはグラフェンと 基 板 の 界 面 に 蓄 積 した 電 荷 のために 中 性 点 からシフトする しかしながら この 効 果 は スクリーニン グ 効 果 のために 上 方 の 層 に 対 しては 指 数 関 数 的 に 減 少 する[63] 多 層 GNR のすべての 層 を 利 用 するためには エッジ 官 能 化 かドーピングにより 上 方 の 層 のフェルミエネルギーをシフトさせなければ ならない さもなければ 上 方 の 層 の 電 導 度 はそのバンドギャップのために かなり 小 さくなるだろう この 電 導 度 劣 化 はバンドギャップが 大 きくなる 幅 のより 狭 い GNR に 対 してより 厳 しくなる エッジの 官 能 化 やドーピングは 実 効 平 均 自 由 行 程 が 逆 方 向 に 影 響 されない 程 度 に 成 されなければならない 4. 低 抵 抗 コンタクトの 達 成 -カーボンナノチューブと 同 様 に GNR への 高 信 頼 性 低 抵 抗 コンタクトを 形 成 することは 挑 戦 的 である 多 層 の GNR に 対 して グラフェン 層 はグラフェンの 魅 力 的 な 性 質 を 維 持 するために 電 気 的 に 分 離 されることが 望 ましい そのため コンタクト 部 において 多 層 GNR のす べての 層 への 直 接 接 合 が 必 要 である 5.3 SI CMOS 互 換 光 配 線 と I/O 序 論 ダイ 上 の 配 線 ( 信 号 およびクロック 分 配 )と 入 力 / 出 力 (I/O)に 対 して 光 配 線 の 現 実 解 が 提 案 されてきている ダイ 上 の 配 線 アプリケーションでは ピッチ 遅 延 や 電 力 の 留 意 事 項 のため 光 配 線 は 配 線 スタックの 下 位 レイヤーの Cu/Low-κ を 置 き 換 えるものとしては 期 待 されていない その 代 わりに 光 アーキテクチャの 独 自 の 性 質 の 利 点 を 活 用 するコスト 効 率 的 な 導 入 が 焦 点 となっている I/O 向 けの 用 途 としては 光 ソリューショ ンは パッケージ 配 線 の 高 い 損 失 によってもたらされる 限 界 を 克 服 し 高 パワーイコライゼーションとプリエ ンファシスの 必 要 性 を 避 けるあるいは 最 小 化 することによってビット 当 たりの 電 力 を 削 減 する 一 方 で 帯 域 幅 集 約 帯 域 幅 密 度 ( 断 面 距 離 あたりの 帯 域 幅 一 般 的 には Gb/s/mm として 測 定 される) 及 び/もしくは 通 信 距 離 を 増 加 させることに 焦 点 を 当 てている 歴 史 の 観 点 では 光 I/O は この 技 術 のおそらく 最 初 のアプ リケーションとして 通 常 認 識 されている この 技 術 の 集 積 化 を 促 進 するには 既 存 の 基 幹 設 備 を 活 用 できる CMOS コンパチブルな 光 素 子 とプロセスの 開 発 が 最 も 重 要 である 重 大 な 進 歩 が 成 されているが この 分 野 は 大 量 で 低 価 格 なソリューションにとって 既 存 の 配 線 ロードマップへのインターセプトを 定 義 するほどに はまだ 十 分 に 熟 成 していない 集 積 化 オプション ダイ 上 の 光 配 線 のための 光 アーキテクチャ: ダイ 上 のオンチップ 配 線 として 提 案 されてきた 数 多 くのアーキテクチャは 以 下 の 二 つのカテゴリーの 一 つ に 分 類 できる: 集 積 化 光 源 アーキテクチャ :この 場 合 直 接 変 調 光 源 と 検 出 器 が CPU の 上 に 集 積 化 されている 主 な 欠 点 は 大 きなダイ 上 の 消 費 電 力 / 発 熱 そして 重 大 な 集 積 化 の 課 題 である 外 部 光 源 アーキテクチャ : これらの 実 装 は パッケージもしくはボード 上 のダイ 外 部 の 光 源 そしてダ イ 上 の 変 調 器 と 検 出 器 を 用 いる 主 な 利 点 はレーザ 電 力 がダイ 外 部 にあることである 主 な 欠 点 は 製 造 上 の 複 雑 さと 光 をチップに 導 く 際 の 高 い 結 合 損 失 である 上 記 の 双 方 の 場 合 において 波 長 特 異 性 フィルタ/ 変 調 器 は 各 導 波 路 に 複 数 の 独 立 した 信 号 伝 送 を 可 能 にする 多 重 化 を 実 装 するために 使 うことができる 期 待 される 長 所 : 遅 延 : 光 配 線 が 金 属 配 線 よりも 高 速 になる 臨 界 長 を 定 義 することは 可 能 である 光 素 子 の 質 に 依 存 す る 臨 界 長 はミリメートルのオーダーであると 見 積 もられている[69-71] スキューとジッター : 光 配 線 の 低 いレイテンシ とクロストークの 非 存 在 は 低 スキューで 低 ジッタなクロ ック 分 配 に 帰 結 する 可 能 性 がある しかしながら 従 来 の 金 属 配 線 で 実 装 された 最 新 のクロック 分 配 設 計 はプロセッサの 要 求 を 満 たすと 期 待 されている 潜 在 的 な 欠 点 : 電 力 コスト 集 積 化 の 複 雑 さ

84 76 配 線 配 線 階 層 の 中 で 将 来 性 のある 用 途 : 上 層 金 属 レイヤーの 長 距 離 配 線 コア コア 間 コミュニケーション I/O のための 光 アーキテクチャ: 提 案 されている 実 装 のほとんどは 二 つの 基 本 的 なアーキテクチャに 分 類 できる[69, 72]: CPU 上 光 I/O :この 場 合 ほとんどもしくは 全 ての 光 素 子 は CPU の 中 に 集 積 化 される 一 部 もしくは 全 ての CPU 内 外 情 報 伝 送 は 光 信 号 を 通 じて 行 われる 光 源 はダイ 上 もしくはダイ 外 にあり 直 接 変 調 さ れる もしくはされないかもしれない ディスクリート 光 I/O ダイ : 光 I/O チップは(パッケージ 配 線 を 通 じて)CPU から 電 気 信 号 を 受 信 し それ らを 光 信 号 に 変 換 する 同 様 に 光 I/O チップは パッケージ 配 線 使 って CPU と 情 報 伝 送 する 電 気 信 号 に 変 換 する 光 信 号 を 受 信 する 光 源 は 通 常 ダイ 外 にあり 直 接 変 調 される もしくはされないかもし れない 集 積 化 光 I/O の 主 な 利 点 は 電 気 I/O に 関 連 する 電 力 ペナルティを 回 避 することによって 電 力 を 削 減 で きる 可 能 性 を 秘 めていることである 一 方 で パッケージの 複 雑 さが 増 す 代 わりに ディスクリートアーキテク チャは プロセッサダイ 上 の 光 素 子 集 積 化 に 起 因 する 多 くのチャレンジングな 設 計 集 積 化 の 制 限 を 排 除 する このアプローチに 期 待 される 長 所 : 高 い 統 合 帯 域 幅 低 いビット 当 たりの 電 力 長 距 離 情 報 伝 送 プ リエンファシス イコライジングの 除 去 あるいは 最 小 化 課 題 信 号 伝 送 クロック 分 配 そして IO 用 の 光 配 線 の 実 装 は 多 数 の 光 素 子 の 開 発 を 要 求 する 以 下 に 最 も 重 大 な 素 子 について 簡 潔 に 説 明 する 光 源 は 直 接 変 調 されるあるいは 連 続 波 ( 例 えば 非 変 調 )である 前 者 の 場 合 光 源 は 電 気 信 号 によってオ ン/オフされる 後 者 の 場 合 連 続 スペクトル 光 源 は 電 気 信 号 によって 制 御 される 光 変 調 器 とあわせて 用 い られる 配 置 の 観 点 では レーザはダイ 外 (パッケージもしくはボード)にあり フォトニクスダイに 結 合 され もしくはダイ 上 に 集 積 化 される( 通 常 は CMOS プラットフォームに III-V 性 能 を 加 える) 鍵 となるパラメータ は 出 力 電 力 電 力 効 率 アレイ 内 のレーザ 数 コスト 熱 安 定 性 電 気 的 可 変 性 ( 電 源 電 圧 を 変 えること で 波 長 を 変 える) 冷 却 要 求 そして 直 接 変 調 光 源 の 場 合 の 速 度 である 例 えば 光 源 は 面 発 光 レーザ (VCSELS) 量 子 ドットレーザ そして 端 面 発 光 半 導 体 ダイオードレーザである 最 も 広 く 用 いられる 波 長 は nm である 通 常 の 要 求 は 20 Gb/s より 速 いスイッチングレートである 全 電 力 の 要 求 は アプリケーションに 依 存 するが 通 常 1W 以 下 である これまでのところ 主 要 な 懸 念 事 項 は 波 長 の 安 定 性 動 作 条 件 の 信 頼 性 レーザアレイのコストである 光 検 出 器 は ダイ 上 に 集 積 化 される CMOS ダイに 結 合 される あるいはパッケージ 上 に 配 置 される Ge ベースの 金 属 - 半 導 体 - 金 属 そして PIN ダイオード 光 検 出 器 は CMOS 互 換 性 の 可 能 性 を 有 しているため 非 常 に 注 目 されてきた[73-78] 主 要 な 技 術 パラメータは 感 度 動 作 電 圧 入 力 容 量 光 結 合 効 率 寸 法 暗 電 流 に 対 する 光 電 流 の 比 光 結 合 効 率 を 含 む 光 検 出 器 に 入 る 光 との 結 合 を 強 めるためのプラズモン を 活 用 した 検 出 器 が 近 年 提 案 されている[79] 光 検 出 器 の 通 常 の 要 求 は: 0.4 A/W を 超 える 応 答 性 1V あるいはそれ 以 下 の 電 圧 での 20 Gb/s より 高 い 帯 域 そして 100 度 以 上 での 安 定 動 作 である これらの 要 求 は waveguide-coupled 検 出 器 が 満 たすことができる[88] 変 調 器 とフィルタ は 連 続 スペクトル 光 源 との 組 み 合 わせで 用 いられる 変 調 器 の 目 的 は 電 気 信 号 を 用 いて 光 の 流 れを 制 御 することである 波 長 依 存 のフィルタあるいは 変 調 器 は 多 重 化 の 導 入 に 用 いられ これは 信 号 導 波 路 /ファイバ 内 の 異 なる 波 長 において 多 重 信 号 の 伝 送 を 可 能 にする[87] リング 共 振 器 やマッハツ ェンダーを 含 む 多 種 類 の CMOS 互 換 変 調 器 が 文 献 で 提 案 されてきている 変 調 器 は 例 えば Si の 自 由 キャリア 効 果 III-V 族 材 料 の 電 気 光 学 吸 収 効 果 ペロブスカイトとポリマーの 電 気 光 学 効 果 のように 電

85 配 線 77 気 信 号 を 用 いて 光 出 力 を 制 御 する 電 気 光 学 効 果 を 活 用 している 主 要 な 性 能 パラメータは 挿 入 損 失 動 作 電 圧 動 作 周 波 数 スイッチング 電 力 変 調 深 さ/ 吸 光 度 の 比 熱 安 定 性 そして 面 積 である 通 常 の 要 求 は 1V あるいはそれ 以 下 において 20 Gb/s より 高 い 帯 域 3 db 以 下 の 挿 入 損 失 5 db を 超 える 吸 光 深 さ 100 度 以 上 の 動 作 である これらのパラメータは 変 調 器 によって 誘 発 されたリンク 電 力 ペナルティを 定 量 化 する Transmitter Penalty [80]という 一 つの 性 能 指 数 で 一 元 管 理 することができる これは 共 振 変 調 器 に 利 用 されている[81] 共 振 器 の 場 合 [86] 課 題 の 一 つは 適 切 な 波 長 で 固 定 された 光 源 と 変 調 器 を 維 持 することであり それは 重 大 な 電 力 ペナルティを 伴 わずに 検 出 システムと 制 御 /フィードバック 回 路 とあわせ てオンチップ 局 部 ヒータの 使 用 を 要 求 するかもしれない[82, 83] マッハツェンダーの 場 合 主 要 課 題 は 大 きな 使 用 面 積 高 いスイッチング 電 力 高 い 挿 入 損 失 製 造 ばらつき 進 行 波 電 極 ならびに 電 極 と 整 合 した 正 確 な 抵 抗 の 必 要 性 である シリコン 導 波 路 と 非 シリコン 材 料 (ポリマー[84]と 複 合 酸 化 物 [85])の 組 み 合 わせによって 高 い 消 費 電 力 を 大 きく 削 減 する 方 法 を 探 索 するいくつかのオプションがある 導 波 路 は 最 小 の 損 失 でチップ 上 の 光 伝 搬 の 手 段 を 提 供 する それらは 検 出 器 に 入 る 光 の 効 率 的 結 合 だけではなく 曲 げ や 反 転 を 可 能 にする 必 要 がある 導 波 路 と 周 囲 の 材 料 との 大 きな 屈 折 率 のコントラ ストは きつい 回 転 半 径 と 小 さいピッチを 可 能 にする 一 般 的 な CMOS 互 換 の 材 料 とプロセスを 用 いたダイ 上 導 波 路 は 広 く 報 告 されてきている 例 として SiO 2クラッド 上 の Si Si 3N 4 Si 3O xn yコアがある[78] シリコン 導 波 路 は 高 いレベルの 小 型 さを 可 能 にするが 製 造 プロセス 制 御 (シリコン 厚 さ 側 壁 のラフネス 導 波 路 の 配 線 長 結 合 ギャップ)にさらに 敏 感 になる 重 大 な 進 歩 が 最 新 の CMOS プロセスをシリコンフォトニクス に 適 用 することで 達 成 されている[89, 90] 鍵 となる 技 術 パラメータは 単 位 長 さあたりの 損 失 屈 折 率 コント ラスト ピッチ 達 成 可 能 な 曲 げ 半 径 である 導 波 路 ファイバ 連 結 器 は ファイバからチップ 上 導 波 路 に 光 を 導 くため 等 に 使 われる それらの 主 な 結 合 アプローチは 1) 導 波 路 とファイバが 端 から 端 まで 整 列 されたファイバ- 導 波 路 突 き 合 わせ 結 合 2) ファイ バが 平 面 導 波 路 に 集 中 する 格 子 構 造 の 角 度 で 光 を 当 てる 格 子 結 合 器 3) 特 別 に 改 造 されたファイバと 導 波 路 間 のエバネッセント 結 合 である いくつかの 場 合 において 鏡 とレンズアレイも 結 合 を 可 能 にするため に 導 入 される メリットのカギとなるものは 結 合 効 率 コストとパッケージの 位 置 合 わせ 許 容 値 である 結 合 の 挿 入 損 失 は 光 電 力 バジェットに 本 質 的 に 支 配 的 である 主 な 課 題 は アセンブリコストを 削 減 するために 厳 しいパッケージング 位 置 合 わせを 要 求 しない 低 い 挿 入 損 失 を 提 供 するソリューションの 開 発 である レーザ 導 波 路 結 合 器 はフォトニックダイと 結 合 されているレーザもしくはレーザアレイからダイ 上 の 導 波 路 に 光 を 導 くために 使 われる 二 つの 主 要 な 結 合 戦 略 があり それはエバネッセント 結 合 と 突 き 合 わせ 結 合 である 結 合 器 の 開 発 は 特 別 なパッケージングソリューションを 要 求 する 恐 れがあり それは 実 行 可 能 な 結 合 オプションを 把 握 する 必 要 がある 5.4 超 伝 導 体 抵 抗 ゼロの 概 念 は 明 らかに 即 配 線 システムの RC 遅 延 を 最 小 化 する 試 みに 訴 える このことは 配 線 シス テムへの 超 伝 導 体 の 可 能 性 ある 応 用 として 幾 らかの 注 目 を 集 めてきている 不 幸 にして 超 伝 導 体 の 応 用 にとって 配 線 としての 魅 力 を 削 ぐ 幾 らかの 不 自 由 な 現 実 が 存 在 する 第 一 に 超 伝 導 体 は 妥 当 な 性 質 を 発 揮 するためには 約 77K に 冷 やされる 必 要 があり そのような 冷 却 方 法 は 非 常 に 高 価 である 第 二 に 典 型 的 には 高 温 超 電 導 体 はエピタキシャル 基 板 上 で 高 い 成 長 温 度 が 必 要 であり CMOS 集 積 化 とは 整 合 しない 第 三 に 高 温 超 電 導 体 の 臨 界 電 流 密 度 は 1E5 A/cm 2 のオーダーであり[91] これは 動 作 電 流 と 近 い 第 四 に 超 伝 導 体 は 電 導 度 に 依 存 する 固 有 周 波 数 を 有 する 77K では Cu と YBCO は 150 GHz で 等 価 な 表 面 抵 抗 を 有 する 10 GHz においては YBCO は Cu に 比 べて 約 二 桁 低 い 表 面 抵 抗 を 有 している[92] 最 後 に 抵 抗 がゼロに 近 づいたとしても 信 号 伝 送 は 伝 送 速 度 が 1/ LC である LC 伝 送 線 路 と 同 様 になるであろう

86 78 配 線 5.5 ワイヤレス 配 線 集 積 回 路 において ワイヤレス 配 線 は 基 板 または 信 号 伝 達 のための 基 板 の 下 方 に 配 置 できる 絶 縁 層 と 組 み 合 わされた 基 板 を 使 用 する これは 従 来 の 配 線 層 に 対 して 並 行 するチャネルを 提 供 する しかしな がら 電 力 消 費 を 伴 うとともに 回 路 やアンテナのための 付 加 的 な 領 域 を 必 要 とする 典 型 的 なドーピング レベルの 厚 いシリコン 基 板 は 高 い 損 失 とそれに 伴 う 信 号 減 衰 を 生 じる この 減 衰 を 低 減 するために シリ コン 基 板 を 100 m 以 下 に 薄 化 することが 可 能 である チップ 外 のアンテナからチップ 上 のアンテナへシリコ ン 基 板 の 裏 側 を 通 して 通 信 することも 可 能 である トランジスタのピーク 遮 断 周 波 数 の 25-50% 程 度 高 く 出 来 る 動 作 周 波 数 に 比 例 してアンテナのサイズは 縮 小 する 吸 収 係 数 も 動 作 周 波 数 と 透 過 率 の 積 が 基 板 の 電 導 度 より 十 分 に 大 きい 限 界 での 動 作 周 波 数 とともに 減 少 する 20 Ω-cm の 基 板 に 対 して 遷 移 周 波 数 は 10 GHz 前 後 である やがては プラズマ 効 果 に 関 連 する 不 純 物 は 吸 光 係 数 を 増 加 させる ワイヤレス 配 線 の 帯 域 は 与 えられたプロセス 技 術 において 実 現 される 回 路 の 帯 域 により 制 限 される 帯 域 はトランジスタの f T の %である 現 在 の CMOS 技 術 で データ 速 度 Gbps が 可 能 であるが 低 い 帯 域 から 並 の 帯 域 の 信 号 にワイヤレス 配 線 をより 適 するようにすることもできる 携 帯 電 話 の 通 信 に 対 して 回 路 面 積 の 増 大 と 電 力 消 費 の 犠 牲 のもとに 成 されたと 同 様 に 集 約 型 の 帯 域 幅 は 空 間 をセルに 分 割 することにより 増 加 することが 可 能 である 周 波 数 分 割 多 重 アクセスとコード 分 割 多 重 アクセス 機 構 は I/O 線 を 増 加 する 付 加 的 な 選 択 肢 である しかしながら ハードウェアと 消 費 電 力 のオーバーヘッドは 一 般 的 にチップ 内 応 用 に 対 して Cu 配 線 を 使 うのに 比 べて 高 すぎる ワイヤレス 配 線 は グローバルクロック リ セット スリープや 複 数 の 長 い 金 属 配 線 を 必 要 とする 中 程 度 のバンド 幅 の 信 号 のようなファンアウト 10 から 100 のグローバル 信 号 により 適 している 与 えられたクロック 周 波 数 とスキュー 耐 性 で 同 期 できる 面 積 は チ ップ 外 付 けのアンテナから 集 積 回 路 の 裏 面 を 通 してクロックを 放 射 することで 増 加 させることが 出 来 る PC ボード 上 での 金 属 カバーとグランドプレーン 間 の 空 き 領 域 を 使 用 してのプリント 基 板 内 でのチップ 間 ワイ ヤレスデーター 通 信 も 可 能 である 媒 体 の 損 失 は PC ボード 上 の 伝 送 線 路 の 損 失 よりも 小 さい さらに 有 線 での 配 線 も 送 信 機 と 受 信 機 が 必 要 であるため ワイヤレス 配 線 の 電 力 消 費 と 面 積 オーバーヘッドの 方 が より 許 容 性 がある 5.6 SI CMOS 代 替 技 術 と 配 線 との 関 連 事 項 Si CMOS 素 子 の 代 替 として 様 々な 新 規 のスイッチ 方 法 が 追 求 されている 新 規 素 子 は 異 なる 利 点 と 制 限 を 与 えるために それぞれに 最 適 な 配 線 技 術 が 大 きく 異 なる 可 能 性 は 高 い 新 規 素 子 は 電 界 効 果 型 と 非 電 界 効 果 型 の 2 種 類 に 大 きく 分 類 される 電 界 効 果 型 においては ゲートに 印 加 された 電 圧 によりポテン シャルバリアの 高 さと 幅 の 両 方 もしくはどちらかが 変 調 される さまざまな 新 規 素 子 が Si CMOS スイッチを 増 幅 もしくは 置 き 換 えるために 調 査 されている これまで 探 求 されてきたオプションは Si ナノワイヤーやカ ーボンナノチューブ グラフェンナノリボンといった 新 規 材 料 からなる 電 界 効 果 型 トランジスタから 電 子 スピ ンのような 新 たな 計 算 上 の 状 態 変 数 に 基 づいた 全 く 異 なる 素 子 までさまざまである これら 新 規 の 素 子 はそ れら 固 有 の 性 質 に 基 づき 評 価 されているが 接 続 の 観 点 からも 評 価 されるべきである さもなくば 配 線 と の 相 関 で 発 生 する 遅 延 やエネルギー 損 失 によりこれら 新 規 素 子 の 固 有 の 特 性 が 消 失 するおそれがある このことは 特 に 素 子 と 配 線 部 が 同 一 の 材 料 が 形 成 されるような 新 規 素 子 (ネイティブ 素 子 配 線 )において 重 要 である カーボンナノチューブやグラフェンナノリボン シリコンナノワイヤーがそれら 材 料 の 例 である ま た 非 電 荷 型 素 子 も 局 所 的 には 状 態 変 化 を 高 速 で 低 エネルギーにて 伝 える 必 要 性 がある さもなくば 信 号 変 換 に 必 要 な 回 路 や 遅 延 エネルギー 損 失 が 許 容 されなくなる この 節 ではネイティブ 素 子 配 線 に 相 関 する 配 線 での 課 題 と 機 会 を 論 ずる 新 規 電 界 効 果 型 素 子 Thermionic FETs: 電 界 効 果 型 素 子 の 大 半 はゲート 電 圧 によりポテンシャルバリアが 変 調 される 熱 電 子 放 出 により 動 作 する このカテゴリーに 分 類 される 新 規 素 子 は 高 移 動 度 の 新 チャネル 材 料 とゲート チャネ ル 間 の 高 い 静 電 結 合 のどちらか もしくはその 両 方 を 組 み 合 わせたものを 使 用 する このようなトランジスタ は 高 い Ion( 低 出 力 抵 抗 )と 低 い 入 力 寄 生 容 量 を 持 つ そのため このような 素 子 を 用 いる 場 合 は 配 線 抵 抗 と 容 量 はより 重 要 な 要 素 となる

87 配 線 79 Steep Sub-Threshold FETs: 新 規 FET の 主 要 分 野 ではキャリアの 熱 電 子 放 出 に 頼 らないため 60mV/dec の 閾 値 変 動 には 制 限 されない 例 えばトンネル FET では 電 流 制 御 のためにゲートからの 電 界 によりポテン シャルバリアの 幅 を 変 調 する このような 素 子 では 大 きな 出 力 抵 抗 をもつ 傾 向 があるために 低 い 動 作 電 圧 では Si CMOS を 凌 ぐ 特 性 が 予 想 される 低 電 圧 CMOS での 出 力 抵 抗 の 大 きさや 急 峻 な 閾 値 を 持 つ 新 規 素 子 では 配 線 抵 抗 の 影 響 は 非 常 に 小 さくなる したがって このような 高 抵 抗 素 子 では 最 小 の 配 線 間 容 量 を 持 つ 配 線 技 術 と 形 状 が 最 適 である Nanoelectromechanical Switches: 従 来 のリレーと 同 様 の 動 作 を 行 う このようなスイッチの 出 力 抵 抗 は 小 さ く またリーク 電 流 が 殆 どもしくは 全 く 無 い 傾 向 にある しかしながら 遅 延 は RC がチャージアップする 時 間 では 無 く 機 械 的 動 作 に 支 配 される 設 計 者 は 過 去 多 数 の 素 子 が 並 列 動 作 し 機 械 的 な 遅 動 スイッチが 部 分 的 に 被 覆 されるような 複 雑 なゲートを 持 つ 新 しい 回 路 様 式 を 提 案 してきた 速 度 の 観 点 では 配 線 抵 抗 と 容 量 はこのような 回 路 ではさほど 重 要 では 無 い しかしながらエネルギーの 観 点 においては 配 線 容 量 が 電 力 損 失 に 影 響 を 及 ぼす さらに 大 きな 論 理 出 力 数 を 持 つ 回 路 様 式 では 配 線 長 の 増 大 を 招 き 配 線 密 度 が 配 線 技 術 の 最 適 化 において 主 要 なドライバとなる Atomic or Molecular Switches: これは 依 然 初 期 段 階 にある 一 般 的 に 分 子 スイッチでは 非 常 に 大 きな 出 力 抵 抗 と 小 さな 寄 生 容 量 が 予 想 される そのため 分 子 スイッチでは 最 小 の 容 量 を 持 つ 配 線 技 術 候 補 が 最 適 であり 配 線 抵 抗 は 二 の 次 である Spin Devices: Spin FET と spin MOSFET は 電 子 スピンを 内 部 のスイッチ 動 作 メカニズムとして 用 いる しか しながら 入 力 と 出 力 の 観 点 では ゲート 電 圧 がソースからドレインへの 電 流 を 制 御 する 他 の FET と 類 似 し ている このような 素 子 では 配 線 は 出 力 抵 抗 と 入 力 の 容 量 と 関 わりを 持 つ 新 規 非 電 界 効 果 型 素 子 すべての 電 界 効 果 スイッチは 最 終 的 には 熱 雑 音 による 電 力 損 失 制 限 に 直 面 すると 予 想 される[93] 電 力 の 障 壁 を 乗 り 越 えるために CMOS ナノエレクトロニクスの 性 能 を 向 上 させるか 置 き 換 えることができる 新 規 の 素 子 を 発 明 するために 電 子 電 荷 以 外 の 状 態 変 数 が 探 求 されてきた[94-97] 新 規 の 状 態 変 数 の 例 として は 励 起 子 と 呼 ばれる 電 子 とホールのペアの 存 在 を 変 数 とする 電 子 スピンや グラフェンでの 擬 スピンがあ る[96, 98] All Spin Logic: 強 磁 性 体 やトンネル 接 合 双 安 定 ナノ 磁 石 を 用 いた 全 スピン 論 理 素 子 が 提 案 されている [95] これら 論 理 回 路 技 術 には 情 報 処 理 のために 五 つの 機 能 が 必 要 であることが 示 されている それらは 連 結 可 能 性 非 線 形 性 フィードバック 消 去 と 利 得 およびブール 演 算 の 完 全 集 合 である[95] この 論 理 回 路 では スピン 偏 極 電 流 がナノ 磁 石 の 接 触 により 非 磁 性 のチャネルへ 注 入 される チャネルでのスピン 密 度 の 変 化 が 他 のナノ 磁 石 の 帯 磁 方 向 を 切 り 替 える 入 力 と 出 力 共 に 電 子 もしくはホールのスピン 自 由 度 に より 表 わされるこのような 論 理 回 路 や 技 術 においては スピン 情 報 はドリフトや 拡 散 バリスティックの 方 式 に より 伝 達 される このような 配 線 の 主 な 利 点 は 情 報 伝 達 に 伴 う 配 線 容 量 の 充 電 や 放 電 が 行 われないために 電 気 的 配 線 と 比 較 して 電 力 の 消 費 は 少 ない しかしながら 特 に 配 線 長 が 長 い 場 合 において ドリフトや 拡 散 に 基 づいた 配 線 は 一 般 的 に 速 度 が 遅 い 更 には スピン 緩 和 長 は 有 限 であり 数 µm オーダーである も し 配 線 がスピン 緩 和 長 より 長 い 場 合 信 号 長 は 指 数 関 数 的 な 遅 延 を 生 じる Spin Wave Devices: スピンを 用 いたロジック 回 路 においてスピン 波 が 情 報 伝 達 に 使 用 できることが 最 近 実 証 されてきた[100, 101] スピン 波 とは 磁 化 方 向 の 周 囲 にある 秩 序 立 てられたスピン 格 子 における 集 団 振 動 である 磁 性 材 料 の 隣 接 スピン 間 での 交 換 相 互 作 用 が 強 磁 性 材 料 でのスピン 波 速 度 の 上 限 を 決 定 する 高 いキュリー 温 度 をもつ Fe や Co のような 材 料 ではスピン 波 速 度 が 10 5 m/s を 超 過 しないことが 経 験 的 に 分 かっている[101] NiFe でのスピン 波 バスでは 静 磁 波 伝 播 モードでの 最 大 集 団 速 度 はGHz 周 波 数 範 囲 で は 10 4 m/s であり 更 に 高 い 周 波 数 では 連 続 的 に 減 少 する[101] そのためスピン 波 においても 電 気 配 線 と 比 較 すると 速 度 が 遅 い SI CMOS 代 替 技 術 における 輸 送 メカニズム さまざまな 計 算 上 の 状 態 変 数 は 潜 在 的 に 配 線 として 使 用 される 材 料 の 性 質 や 媒 体 により 異 なる 伝 送 メカニ ズムを 用 いて 伝 達 される 可 能 性 がある Si CMOS に 代 表 される 全 ての FET は 電 圧 を 情 報 伝 達 に 使 用 し

88 80 配 線 従 来 の RC 配 線 は 拡 散 により 電 流 を 伝 播 している 拡 散 を 用 いた 配 線 における 遅 延 は L 2 /D に 比 例 する ここで L は 配 線 長 D は 拡 散 定 数 である 分 布 RC 回 路 の 拡 散 定 数 は 1/r intc int で 表 わされる ここで r int と c int はそれぞれ 単 位 長 さ 当 たりの 抵 抗 と 容 量 の 値 である 電 流 信 号 は LC 回 路 を 通 して 電 磁 波 としても 伝 達 され その 遅 延 は L/c 0 に 比 例 する ここで c 0 は 絶 縁 膜 中 の 光 速 である このような 配 線 はパッケージや 回 路 基 板 ではしばしば 見 られ グローバル 配 線 のようなオンチップでのメタル 配 線 の 最 上 層 でまれに 見 受 け られる バリスティック 伝 導 体 も 配 線 長 に 線 形 的 に 比 例 した 遅 延 を 持 つ 配 線 となり 得 る バリスティック 伝 導 体 では 電 子 はほとんど 散 乱 せず 配 線 抵 抗 は 量 子 抵 抗 R Q に 支 配 される 電 流 信 号 を 伝 導 するバリスティ ック 伝 導 体 の 遅 延 は R Qc intl に 比 例 する ここで R Q は 伝 導 チャネルの 数 に 依 存 する(おおよそ 12.9kΩ/N chan) 量 子 抵 抗 は 量 子 伝 導 体 と 従 来 の 三 次 元 接 続 間 の 伝 導 チャネル 数 の 不 整 合 によって 生 じて いる 配 線 と 素 子 間 にそのような 不 整 合 の 無 い 生 来 のバリスティック 配 線 においては 量 子 抵 抗 の 項 が 無 く なり 遅 延 は R onc intl に 比 例 するようになる ここで R onは 素 子 抵 抗 である 非 電 流 形 式 の 情 報 伝 達 手 法 として 様 々な 輸 送 メカニズムが 存 在 する 電 子 スピンのようなキャリアが 関 係 す る 方 法 では 拡 散 ドリフトやバリスティック 形 式 により 伝 達 される[97] これらの 輸 送 メカニズムでは 電 荷 自 体 の 移 動 は 起 きる 場 合 とそうでない 場 合 がある 例 えば 送 信 側 において あるスピン 配 向 をもつ 電 子 が 注 入 さ れ 反 対 のスピン 配 向 を 持 つ 同 数 の 電 子 が 取 り 除 かれた 場 合 配 線 からの 正 味 の 電 荷 数 の 増 減 は 無 いた め 電 流 信 号 は 生 じない しかしながら 配 線 の 反 対 側 に 拡 散 するようなスピン 密 度 の 濃 度 勾 配 が 生 じる 非 局 所 スピンバルブはこの 原 理 に 基 づいて 動 作 する この 種 類 の 配 線 における 拡 散 係 数 は 電 子 の 拡 散 係 数 となり 電 流 を 用 いる RC 配 線 の 1/(r intc int)よりもかなり 小 さいことがしばしばである スピンのドライバ 回 路 から レシーバ 側 の 間 に 電 圧 が 印 加 された 場 合 電 子 は 平 均 的 なドリフト 速 度 にて 移 動 し そのようなドリフトベー スの 配 線 での 遅 延 は L 2 /(Vμ)に 比 例 するようになる ここで μ は 移 動 度 で V は 印 加 電 圧 である 代 表 的 な ケースは 従 来 のスピンバルブである 配 線 伝 導 体 がバリスティックである 場 合 電 子 はほとんど 拡 散 を 経 験 せずにフェルミ 速 度 v f. で 移 動 する そのような 配 線 では 遅 延 は L/v f.に 比 例 する 電 流 とスピンに 基 づいた 拡 散 性 の 配 線 では 拡 散 係 数 が 基 本 的 に 異 なるため 何 桁 も 異 なる 速 度 をもつ 可 能 性 があることは 留 意 すべきである 電 流 を 用 いる 配 線 では 信 号 はキャリア 自 身 よりも 早 く 伝 播 する 同 様 に 電 流 とスピンによるバリスティック 配 線 の 伝 播 速 度 も 非 常 に 異 なる 可 能 性 がある スピン 情 報 は 移 動 電 子 無 しにスピン 波 により 伝 播 される 可 能 性 がある スピン 波 とは 電 磁 波 に 関 する 電 子 磁 気 モーメントの 歳 差 運 動 の 集 合 体 である スピン 導 波 路 は 強 磁 性 フィルムもしくは 反 強 磁 性 フィルム フ ェライトといったものからなるワイヤーやそれらの 組 み 合 わせの 磁 気 フィルムにて 作 成 できる スピン 波 の 信 号 伝 播 速 度 は 導 波 路 の 材 料 や 構 造 スピン 波 の 周 波 数 外 部 磁 気 フィルムの 大 きさや 配 向 に 依 存 する ス ピン 波 配 線 の 遅 延 は L/v SWで 表 わされる ここで v SW は 材 料 中 でのスピン 波 の 伝 播 速 度 である 電 流 を 用 いない 配 線 の 消 費 電 力 はドライバとレシーバに 強 く 依 存 する 例 えば 正 味 の 電 荷 量 が 一 定 で 保 たれるようなバリスティックや 拡 散 に 基 づいた 配 線 においては エネルギーは 消 費 されない したがって 消 費 電 力 は レシーバや 配 線 に 沿 ったスピン 緩 和 率 ドライバ 側 のスピン 注 入 効 率 そして 駆 動 回 路 の 電 気 抵 抗 において 必 要 とする 最 小 スピン 電 流 により 決 まる[97] Figure INTC36 では 異 なる 輸 送 メカニズムに 対 する 遅 延 と 配 線 長 の 相 関 の 14nm node における 比 較 を 示 す

89 配 線 81 Figure INTC36 Delay versus length for different transport mechanisms ナノワイヤー シリコンや ゲルマニウムのような 半 導 体 材 料 から 形 成 される 化 学 的 に 構 築 されるナノワイヤーは 局 所 的 に 不 純 物 を 導 入 するか 金 属 被 覆 することで 金 属 / 半 導 体 へテロ 構 造 を 形 成 することができる そのような 構 造 は ナノワイヤーの 二 組 の 直 交 する 交 差 点 に 分 子 デバイス( 例 えば ダイオード)が 形 成 される クロス バーアーキテクチャに 特 に 有 用 である[102] リソグラフィーによりパターン 形 成 された 配 線 はその 際 固 有 の 活 性 プロフィールを 有 する 個 々のナノワイヤーにアドレス 指 定 するために 使 用 される クロスバーアーキ テクチャの 主 な 利 点 は 高 密 度 充 填 であるが 典 型 的 には 低 速 である 不 純 物 導 入 された 半 導 体 ナノワイ ヤーは まったくの 抵 抗 体 (ミクロン 当 たり 数 百 kω)であり 短 距 離 に 対 してのみ 使 用 できる そのかわり 単 結 晶 ニッケルシリサイドのような 金 属 ナノワイヤーは 抵 抗 値 が 9 Ω-cm あたり[103]でありかなり 良 好 な 導 電 体 である 金 属 / 半 導 体 ニッケルシリサイド シリコンへテロ 構 造 はシリコンナノワイヤーをニッケルで 選 択 的 に 被 覆 し 温 度 を 上 昇 させながらアニールする(~550 )ことで 形 成 される 残 留 するニッケルはあと でエッチング 除 去 され 純 粋 な 単 結 晶 ニッケルシリサイドナノワイヤーが 得 られる 単 結 晶 ニッケルシリサイ ドナノワイヤー 中 での 実 効 平 均 自 由 行 程 は 5nm 程 度 である このため 10nm 程 度 の 直 径 においてさえサ イズ 効 果 はあまり 大 きくない[103] また それらの 単 結 晶 性 によって ニッケルシリサイドナノワイヤーは A/cm 2 以 上 の 密 度 の 電 流 を 通 すことができる カーボンナノチューブ 単 層 カーボンナノチューブは 擬 似 一 次 元 材 料 である 単 層 CNT と 三 次 元 金 属 コンタクトにより 界 面 が 形 成 される 如 何 なる 場 所 でも 余 分 の 量 子 抵 抗 とコンタクト 抵 抗 が 発 生 する コンタクト 抵 抗 は 良 好 な 金 属 -ナノ チューブ 界 面 によって 低 下 することが 潜 在 的 に 可 能 であるけれども 量 子 抵 抗 ( 金 属 単 層 CNT に 対 しては 6.5kΩ)は 基 本 的 な 避 けられない 限 界 である 同 一 の CNT に 多 重 のスイッチを 実 装 することは 余 分 な 量 子 抵 抗 やコンタクト 抵 抗 を 排 除 するので 魅 力 的 な 選 択 肢 である 理 論 的 には 単 一 のナノチューブの 対 称 性 や 直 径 を 長 さ 方 向 に 沿 って 変 えることができれば 金 属 - 半 導 体 接 合 を 形 成 することができる しかし ながら 対 称 性 制 御 に 関 してはほとんど 進 捗 がないので このことが 制 御 可 能 な 方 法 でなされるということは ほとんどありそうもない より 実 践 的 なアプローチは ある 領 域 にそのような 接 合 を 形 成 するためと 継 ぎ 目 のない 方 法 で 多 重 ナノチューブスイッチを 結 合 するための 化 学 的 または 静 電 的 不 純 物 導 入 である sp 2 結 合 を sp 3 結 合 に 不 純 物 が 変 化 させるような 化 学 不 純 物 注 入 は 平 均 自 由 行 程 を 低 下 してしまう sp 2 結 合 を 保 持 できる 不 純 物 が 大 きな 平 均 自 由 行 程 を 維 持 できるのである

90 82 配 線 CNT-CNT の 接 合 は 電 子 がナノチューブ 間 をトンネルする 必 要 があるため 非 常 に 高 抵 抗 ( 数 MΩ 以 上 ) となる 傾 向 がある このため ファンアウトが 必 要 とされる 場 合 は いつも 金 属 接 合 を 使 用 することが 必 要 と なる ネイティブ CNT 配 線 は 主 に 論 理 ゲートに 有 用 で 特 に 直 列 に 多 重 スイッチが 必 要 であるゲートに 対 して 有 用 である 例 としては 多 重 入 力 NAND と NOR ゲートである 可 能 性 のあるいくつかのチュー ブの 不 整 合 を 考 慮 した そのようなゲートのパフォーマンスとしての 可 能 性 は 文 献 100 にモデル 化 されて いる グラフェンナノリボン GNR は 巻 いていない CNT と 考 えることが 出 来 CNT と 共 通 の 多 くの 電 子 的 性 質 を 有 している GNR のバ ンドギャップはその 幅 とエッジの 構 造 とによって 決 定 され それ 故 適 切 なパターニングにより 制 御 可 能 であ る このことは 原 則 的 に 対 称 性 を 制 御 することができない CNT を 凌 駕 する 大 きな 利 点 を GNR に 与 えて いる 一 連 の 半 導 体 - 金 属 GNR ではわずか 数 個 のグラフェン 金 属 接 合 を 使 って 複 雑 なロジックゲートを 形 成 することが GNR に 沿 って 幅 を 変 化 させてパターン 形 成 することで 可 能 である GNR に 沿 った 幅 広 い 領 域 は フェルミエネルギーの 適 切 な 選 択 により 導 電 性 を 付 与 できるより 小 さなバンドギャップを 有 してい る おのおのの 領 域 に 対 して 幅 と 長 さの 選 択 は 幅 広 い 領 域 における 固 有 状 態 は 狭 い 領 域 に 侵 入 可 能 であり 狭 い 領 域 の 半 導 体 性 を 破 壊 するため 適 切 に 成 されるべきである GNR において 十 分 に 大 きなバ ンドギャップを 得 るためには 5nm 以 下 の 幅 であることが 必 要 である このためナノリボンのパターニングは 主 要 な 課 題 となっている カーボンナノチューブのように 化 学 的 または 静 電 的 な 局 所 不 純 物 導 入 の 両 者 が GNR における 直 列 の 半 導 体 - 金 属 接 合 を 形 成 するために 使 用 可 能 である GNR 回 路 には 曲 げや 反 転 を 実 装 することが 可 能 である しかしながら 全 体 の 長 さが 短 く GNR がバリ スティック 電 導 領 域 で 動 作 する 場 合 鋭 い 曲 げや GNR の 長 さに 沿 った 電 導 チャネルの 数 のいかなる 突 然 の 変 化 によっても 電 子 が 反 射 され 大 きな 抵 抗 が 発 生 する[105] このことは バリスティックな GNR が 電 子 波 に 対 して 導 波 路 の 様 に 振 舞 うことによる しかしながら 拡 散 領 域 においては GNR の 挙 動 は 従 来 の 導 電 体 と 類 似 している GNR 回 路 における ファンアウトは 金 属 -グラフェン 接 合 の 必 要 なしに 形 成 できる このことは CNT 回 路 に 勝 るもうひとつの 利 点 である このことは 拡 散 領 域 で 特 に 真 実 である バリスティック 領 域 においては 電 子 の 波 動 性 を 考 慮 する 必 要 があり 大 きな 電 子 の 反 射 を 避 けるためには 特 別 なレイアウトが 必 要 となる であろう GNR はレイアウトの 観 点 からより 自 由 度 の 高 さを 提 供 するが 単 層 グラフェンシート 内 でほとんど 接 続 性 がなく ほとんどのロジックゲートを 形 成 するために 他 の 配 線 階 層 が 必 要 となる これらの 配 線 階 層 は 金 属 性 でも 炭 素 ベースでも 可 能 である しかしながら これらの 階 層 を 接 続 するためには 金 属 性 のビ アが 必 要 である 電 界 効 果 グラフェントランジスタが 研 究 される 唯 一 のデバイスの 選 択 肢 ではないことは 記 すべきである グラフェンの 新 奇 な 性 質 は 新 たなデバイスコンセプトに 機 会 を 提 供 する 例 として 電 子 スピンに 基 づくデ バイス(スピントロニクス) 電 子 擬 似 スピン(バレートロニクス)と 電 子 波 干 渉 などである これらの 新 たなデバ イスコンセプトは 自 身 の 配 線 課 題 と 機 会 を 提 供 する スピンベースの 配 線 計 算 状 態 変 数 が 電 荷 であるすべてのデバイスは デバイスと 配 線 に 伴 った 充 放 電 容 量 のエネルギー 消 費 によって 強 いられた 限 界 に 苦 しんでいる[106] スピンは 超 低 消 費 電 力 回 路 を 達 成 するために 研 究 され ている 新 奇 な 状 態 変 数 である[ ] スピントロニクスは ひとつまたは 群 の 電 子 または エキシトンと 呼 ばれる 電 子 ホールペアのスピン 自 由 度 を 制 御 または 操 作 することに 言 及 する スピントロニクスの 潜 在 的 な 利 点 は 不 揮 発 性 データ 処 理 速 度 の 向 上 消 費 電 力 の 減 少 と 集 積 密 度 の 増 大 である[110] これらの 潜 在 的 利 点 は スピンがスピンベースの 論 理 デバイスの 入 力 と 出 力 の 両 方 に 使 用 された 場 合 においての み 具 現 化 する[108] 他 方 スピンが 電 流 の 制 御 (スピントランジスタ)にのみ 使 われた 場 合 には 電 荷 ベ ースのデバイスと 同 じスケーリング 限 界 に 直 面 するだろう[108] 高 速 かつ 低 消 費 のスピンベースの 配 線 は それ 故 従 来 の 配 線 を 潜 在 的 に 凌 駕 するであろうスピンベース 回 路 の 開 発 の 鍵 となる

91 配 線 83 スピン 信 号 は スピン 情 報 を 運 ぶ 電 子 やエキシトンの 物 理 的 置 換 によってか スピン 波 を 通 して 通 信 でき る 担 体 は 電 界 (ドリフト) 使 うか 濃 度 勾 配 ( 拡 散 )を 利 用 して 移 動 することができる エキシトンは ゼロの 正 味 の 電 荷 を 有 しており 電 子 とホールが 分 離 した 層 ( 間 接 的 エキシトン)すなわち 2 層 グラフェンにおけ る 場 合 にのみ 電 界 により 動 き 廻 れる[111] 担 体 を 動 かすために 電 界 を 使 うことは エネルギーの 不 利 益 を 伴 い 待 機 電 力 の 消 費 という 結 果 になる 一 方 拡 散 は 電 荷 を 有 する 担 体 と 電 荷 を 持 たない 担 体 の 両 方 に 使 うことができる それは 受 動 的 な 現 象 であり それ 故 エネルギー 消 費 の 観 点 から 好 ましい しかし ながら 拡 散 は 低 速 過 程 であり 拡 散 ベースの 配 線 の 速 度 を 限 定 する この 低 速 性 は 電 力 消 費 の 大 幅 な 削 減 により 補 償 されるべきである スピン 緩 和 も スピンベース 配 線 の 配 線 長 を 制 限 する 重 要 なパラメー タである スピン 緩 和 長 は 材 料 により 変 化 し 典 型 的 には ミクロン 以 下 である グラフェンにおいては スピ ン 緩 和 長 は 室 温 において 2μm 程 度 の 大 きさであることが 報 告 されており[112] グラフェン 合 成 の 進 展 に より さらに 大 きなスピン 緩 和 長 が 得 られることが 期 待 されている[112] 最 近 スピン 波 がスピンロジックにおいて 情 報 を 伝 達 するのに 使 うことができることが 実 証 された[100, 101] スピン 波 は 磁 化 の 方 向 の 周 りの 規 則 的 なスピン 格 子 中 のスピンの 集 合 的 振 幅 である 磁 性 材 料 中 の 近 接 スピン 間 の 交 換 相 互 作 用 の 強 さは 強 磁 性 材 料 中 のスピン 波 の 速 度 の 上 限 を 決 定 する スピン 波 の 速 度 は 高 いキュリー 温 度 を 有 する 鉄 やコバルトのような 実 験 的 に 研 究 された 材 料 中 では 10 5 m/s を 越 えることはな い[101] NiFe に 実 装 されたスピン 波 バスに 対 して 静 磁 波 伝 達 モードの 最 大 群 速 度 は GHz の 周 波 数 帯 域 において 10 4 m/s であり より 高 い 周 波 数 において 連 続 的 に 減 少 する[101] 従 って スピン 波 もまた 電 気 配 線 に 比 べて 遅 い 6 分 野 をまたがる 問 題 6.1 環 境 安 全 健 康 (ESH) 人 間 や 環 境 に 対 する 化 学 物 質 リスクに 重 点 を 置 いた 評 価 の 増 加 や 非 常 に 有 害 とされる 化 学 物 質 の 販 売 や 使 用 を 制 限 する 動 きがあるように 化 学 物 質 規 制 は 世 界 的 に 展 開 し 続 けている 2010 年 代 半 ばまでに 非 金 属 導 体 (ナノカーボンのような)やエアギャップ 技 術 も 含 めて 全 く 新 しい 配 線 材 料 の 出 現 する 可 能 性 がある したがって 新 規 の 化 学 物 質 材 料 やプロセスに 伴 う 排 出 物 と ESH との 関 係 を 調 査 する 必 要 が 生 じてくる( 特 に ナノ 材 料 の ESH 特 性 の 不 完 全 な 現 行 の 定 義 を 与 えられているものと) すべての 新 しい 材 料 を 基 礎 研 究 から 量 産 プロセス 開 発 まで ESH の 観 点 で 評 価 すること そして 最 も"グリーン"な 解 決 策 (もち ろん 技 術 要 求 も 満 たし)を 選 択 することが 重 要 である 世 界 的 な 化 学 物 質 に 対 する 規 制 の 増 加 は 化 学 物 質 の 使 用 制 限 あるいは 禁 止 へ 導 いてしまう 可 能 性 がある 為 研 究 開 発 の 早 い 段 階 で ESH アセスメントを 組 み 入 れていくべきである このような 配 線 材 料 の 劇 的 変 化 に 伴 い いよいよアディティブ 法 が 使 われる 可 能 性 が 出 てきた これは 数 十 年 使 われてきた 露 光 プロセスを 基 本 とするサブトラクティブ 法 からの 革 新 的 な 転 換 であるが プロセスの 簡 素 化 といった 優 位 性 とともに 得 られるであろう ESH に 対 する 利 点 は 大 きいと 思 われる 平 坦 化 は 用 途 が 増 えており 平 坦 化 特 有 の 問 題 として 消 耗 材 (スラリー パッド 洗 浄 ブラシなど)に 加 えて 薬 液 や 純 水 の 大 量 使 用 という 課 題 があげられる 純 水 の 消 費 が 少 ない 平 坦 化 プロセスの 開 発 が 望 まれる 平 坦 化 や 後 洗 浄 に 使 用 された 純 水 をリサイクルや 再 生 するのも 一 つの 解 決 策 であろう 先 端 CMP で 使 わ れるスラリーは 規 制 され 始 めているナノ 粒 子 を 含 み 未 知 の ESH の 懸 念 が 生 じる 可 能 性 がある これらナ ノ 粒 子 の 環 境 運 命 や 環 境 影 響 また 如 何 に 人 々や 環 境 への 曝 露 を 最 小 限 にするかの 最 善 策 を 理 解 する ことが 重 要 である 配 線 工 程 のプラズマエッチングおよびチャンバクリーニングでは 地 球 温 暖 化 係 数 (GWP)の 高 い F ガス (fluorinated greenhouse gases)が 多 用 されている 他 にも 高 GWP ガスとして N 2O( 酸 窒 化 膜 の 成 膜 に 使 用 ) がある 産 業 界 は 自 主 的 な 温 室 効 果 ガス(greenhouse gas:ghg) 排 出 量 の 報 告 や 削 減 の 時 代 から 法 令 規 定 の 時 代 へと 移 っている 温 室 効 果 ガス 排 出 量 予 測 の 精 度 を 上 げるために 半 導 体 業 界 は 配 線 工 程 か らの 温 室 効 果 ガス 排 出 量 を 明 らかにする 必 要 がある 450mm 装 置 プロセスの 開 発 において 排 出 量 の 評 価 は 欠 くことができない チャンバクリーニングでは F ガスの 排 出 量 を 最 小 限 にするプロセスが 実 施 されて いる しかしながら カーボンを 含 有 した 低 誘 電 率 膜 をフッ 素 系 ガスで 除 去 すると 副 生 成 物 として たとえ ば CF 4 や C 2F 6 といった F ガスが 排 出 されてしまう 現 在 絶 縁 膜 のプラズマエッチングでは ほとんどすべ

92 84 配 線 て F ガスがベースとなっているため 副 生 成 物 または 未 反 応 初 期 物 質 として F ガス 排 出 量 は 管 理 されな ければならない 半 導 体 業 界 の 短 期 目 標 として 規 格 化 された F ガス 排 出 量 を 2010 年 のベースラインか ら 2020 年 までに 30% 削 減 することが 設 定 された この 目 標 を 達 成 するために また これらの 化 学 物 質 を 引 き 続 き 使 用 し 続 けられるために 半 導 体 業 界 は プロセスの 最 適 化 代 替 ガスの 使 用 あるいは 除 害 とい った 手 段 によって 温 室 効 果 ガスの 排 出 量 を 削 減 し 続 ける 必 要 がある フッ 素 系 冷 媒 もまた 高 い 地 球 温 暖 化 係 数 (global warming potentials:gwp)を 有 しており これらの 排 出 量 も 最 小 限 にしなければならない 3D 技 術 と 呼 ばれるチップ 間 配 線 技 術 が 登 場 し 急 速 な 成 長 が 期 待 されているが これが 新 たな PFC の 大 量 使 用 工 程 を 生 むこととなった SF 6 などの F ガスを 使 う TSV エッチングプロセスである この 新 しいアプリ ケーションは 半 導 体 業 界 や F ガス 削 減 の 新 目 標 を 達 成 しようとする 業 界 の 取 り 組 みにとって 更 なる 大 き な 負 担 である TSV のエッチングプロセスからの 排 出 量 を 明 らかにし 排 出 係 数 を 確 定 することが 急 務 であ る 加 えて 技 術 要 件 を 満 たしつつ F ガスの 使 用 量 や 排 出 量 を 最 小 限 にする TSV エッチングプロセスの 開 発 が 必 要 である 省 エネについては PECVD やドライエッチャーや CMP などの 装 置 の 省 電 力 化 が 必 要 である また 補 機 類 の 省 エネも 求 められる プラズマプロセスはエネルギー 消 費 が 多 い 上 ガス 使 用 効 率 も 悪 い エッチングプロセスでは 原 理 上 10~30%しか 解 離 しない 場 合 も 多 い 将 来 の 装 置 のために 省 エネ プラズマシステムの 研 究 開 発 が 求 められる エッチャーや CVD 装 置 には POU(Point-of-use)のチラーや 熱 交 換 器 が 使 用 されており 真 空 中 のウェーハやチャンバの 温 度 管 理 を 行 っている 加 熱 冷 却 制 御 システ ムの 効 率 化 たとえば 温 度 制 御 で 加 熱 機 構 と 冷 却 機 構 が 同 時 作 動 するのをやめる 工 夫 により エネルギー 消 費 の 低 減 と 制 御 性 の 向 上 の 両 方 に 貢 献 できる 可 能 性 もある 冷 却 水 によって 装 置 を 冷 やすほうがクリー ンルームに 熱 を 放 出 するより 工 場 全 体 の 省 エネには 有 利 である 配 線 分 野 の 解 決 策 候 補 としては 低 ESH 負 荷 CMP プロセス(たとえばスラリー リサイクルやスラリーレス CMP) ゼロ F ガス 排 気 TSV エッチング 低 コスト 高 効 率 プラズマエッチ 排 気 用 除 害 低 温 ウェーハ 洗 浄 低 容 積 CVD ALD チャンバ ALD プロセスのスループット 向 上 ( 省 資 源 化 ) プロセス 要 求 ベースの 真 空 引 きスピード 制 御 プロセス 非 プロセスにかかわらず 高 温 処 理 の 削 減 加 熱 機 器 冷 却 機 器 の 可 変 制 御 などがあげられる 6.2 チップ-パッケージ 相 互 干 渉 (CPI) 従 来 の 多 層 配 線 では SiO 2 SiN SiC SiCN といった 一 般 的 な 絶 縁 膜 の 積 層 中 に Al や Cu が 埋 め 込 ま れている 通 常 のプロセス 工 程 でのこれら 標 準 的 な 材 料 においてさえ 継 続 的 なスケーリングにおける CPI 管 理 はますます 困 難 になる ワイヤーボンディング フリップチップ ボンディング C4(controlled collapse chip connection) アドバンスト スケール ウェーハレベルパッケージなどの 手 段 によらず チップと 有 機 基 板 あるいはその 他 のパッケージ 材 料 との 間 の 熱 膨 張 係 数 差 によって 応 力 が 誘 発 され ダイシングソーによ るチップ 化 ウェーハの 薄 化 ワイヤーボンディング プロービング( 探 針 測 定 )などの 最 中 に 微 小 クラック や 剥 離 が 発 生 する 場 合 がある 誘 発 された 応 力 を 軽 減 するために いくつかの 対 策 が 提 案 されている た とえば ポリイミドや 厚 膜 金 属 層 の 導 入 は パッケージとチップ 間 の 応 力 を 緩 和 する 効 果 がある また 特 殊 設 計 ルールやチップコーナー 部 におけるキープアウトゾーンも 効 果 的 な 対 策 である 更 に チップ 最 外 周 部 へのクラック 防 止 リング 導 入 やボンディングパッドの 構 造 や 配 置 の 最 適 化 これらすべてがシステム 内 に おけるクラックや 剥 離 の 可 能 性 を 最 小 限 とするのに 寄 与 している 現 在 の 最 先 端 Cu/Low-κ 多 層 配 線 において 機 械 強 度 の 低 い Low-κ 膜 やポーラス ULK 膜 の 導 入 は チ ップ 上 の 配 線 と 後 に 続 く 実 装 工 程 との 相 性 を 悪 くさせる[1-3] これは Low-κ 膜 や ULK 膜 の 持 ついくつ かの 大 きな 欠 点 のためである 低 ヤング 率 低 強 度 低 結 合 力 高 熱 膨 張 係 数 低 密 着 力 高 吸 水 性 低 熱 伝 導 率 これらすべてが CPI 劣 化 の 原 因 である アセンブリとパッケージングの 観 点 から 上 記 の Low-κ 膜 及 び ULK 膜 の 特 性 は 従 来 の SiO 2 SiN SiC SiCN のような 層 間 絶 縁 膜 が 持 つそれぞれの 特 性 に 比 べて 大 幅 に 劣 っている 加 えて フリップチップ ボ ンディング 新 規 Cu ピラー 構 造 新 ウェーハレベルパッケージや SiP 構 造 これらにおいて 鉛 フリーはん だ 使 用 遵 守 の 必 要 がある したがって 以 下 を 開 発 及 び 使 用 しなければならない チップ 上 での 最 終 パッシベーション 膜 とポリイミド 膜 積 層 の 最 適 化 による 応 力 緩 衝 [4] 新 Low-κ 膜 ULK 膜 と 機 械 的 特 性 の 互 換 性 のある 新 パッケージング 材 料 ソー 起 因 のクラックを 軽 減 するためのソーダイシング 前 のレーザ プレ スクライビング

93 配 線 85 チップ 内 のアクティブ 領 域 への 水 分 浸 透 やクラック 伝 播 を 防 止 するための 部 分 犠 牲 シールリングの 導 入 [5-7] パッド エンフォースメントおよび/または 機 械 的 支 持 構 造 の 導 入 [4] バンプ 下 地 金 属 (UBM)の 最 適 化 微 小 圧 もしくは 非 接 触 プロービングのコンセプト Low-κ 膜 /ULK 膜 特 殊 設 計 ルールや 配 置 制 限 の 追 加 Low-κ 膜 /ULK 膜 起 因 の 新 規 CPI 課 題 に 感 度 の 高 いテスト 構 造 やテストチップ フル 積 層 BEOL 構 造 でのアセンブリやテストが 可 能 になる 前 に 新 規 配 線 材 料 やインテグレーション 案 での 早 期 CPI スクリーニングを 行 うための 時 間 短 縮 方 法 やモニタ CPI 信 頼 性 試 験 方 法 の 改 善 上 記 すべての 件 の 開 発 や 最 適 化 は チップ-パッケージ-ボード 相 互 干 渉 (CPBI)のやや 複 雑 な 熱 力 学 的 モデリングやシミュレーションにサポートされる 必 要 がある 一 般 に CPBI 応 力 を 計 算 するための 有 限 要 素 (FE)モデルは 細 分 化 の 異 なるレベルで 設 定 される[8, 9] 1) チップ パッケージ はんだボール 接 続 と プリント 基 板 との 間 の 応 力 の パッケージ-ボードレベルでの アドレッシング( 数 mm オーダー) 2) チップ フリップチップ バンプや Cu ピラーと パッケージ 基 板 たとえば 有 機 基 板 や Si インターポーザ との 間 のパッケージ 応 力 の チップ-パッケージレベルでの 計 算 ( 数 百 μm オーダー) 3) クラックの 発 生 元 高 応 力 箇 所 最 も 可 能 性 のある 不 良 個 所 などを 特 定 するための Cu/Low-κ 配 線 積 層 内 での パッケージに 関 連 する 応 力 の オンチップ 配 線 レベルでのアドレッシング( 数 µm オーダーまた はそれ 以 下 ) 確 かに この CPBI モデリングやシミュレーションはかなり 厳 しい 作 業 であり 多 くの 新 規 開 発 案 件 やソフトウ ェアベンダーからのサポートが 必 要 であるのは 明 らかである 総 合 的 にみても 今 日 あるいは 将 来 の 最 先 端 テクノロジーノードにおいて 出 来 が 良 く 革 新 的 で 高 信 頼 性 の 製 品 を 製 造 するためには 多 くの 異 なる 分 野 からの 専 門 家 間 で 今 まで 以 上 の 積 極 的 な 対 話 や 協 力 が 必 要 となる 継 続 的 なスケーリングだけでなく 新 たな 3D アーキテクチャ 導 入 において 業 界 が 直 面 するであろ う 課 題 をうまく 成 功 に 導 くために 設 計 FEOL BEOL 実 装 テストなどのエンジニアから 成 るチームは 材 料 や 装 置 のサプライヤと 一 致 協 力 しなければならない 設 計 の 早 い 段 階 で 問 題 を 解 明 するためには 業 界 に 対 して 新 規 先 端 モデリングやシミュレーションの 補 佐 が 必 要 となってくる 更 に 斬 新 な 先 端 計 測 技 術 や 不 良 解 析 技 術 は 開 発 の 早 い 段 階 で 効 率 的 に 懸 念 領 域 を 特 定 するのに 必 要 とされる そして 最 後 に 全 体 的 なシステムコストに 直 結 し 最 終 的 な 成 功 の 要 因 である 歩 留 まりや 信 頼 性 においては 今 まで 同 様 継 続 して 注 視 されるべきである 7 付 録 7.1 受 動 素 子 今 後 の 配 線 構 造 を 構 築 する 上 で 高 精 度 受 動 素 子 ( 高 性 能 の 容 量 インダクタ 抵 抗 体 等 )を 配 線 形 成 プロセスを 用 いてオンチップで 形 成 することは 重 要 な 課 題 である これらの 技 術 は 主 にミクスドシグナル RF SOC の 先 端 デバイスで 推 進 されるが 標 準 的 な CMOS の 設 計 基 盤 と ファンダリが 提 供 する 製 造 技 術 でこの 課 題 が 実 現 できることが 要 求 される[1-4, 42, 61-65, 81, 82] 従 来 受 動 回 路 素 子 ( 例 えば 容 量 抵 抗 )はフロントエンドの 工 程 内 で IC 上 に 形 成 していた この 場 合 不 純 物 をドープした 単 結 晶 シリコン 基 板 ポリシリコン 及 びシリコン 酸 化 膜 またはシリコン 窒 化 膜 が 構 成 材 料 として 用 いられていた これらフロントエ ンドで 形 成 される 受 動 素 子 は シリコン 基 板 面 に 近 いため 特 に 高 周 波 RF では 性 能 の 劣 化 に 苦 戦 してい る このため 配 線 工 程 で 形 成 される 低 損 失 低 寄 生 素 子 の 高 品 質 な 受 動 素 子 への 期 待 は 高 い モジュ ール 化 された 低 コストの 手 段 で 配 線 全 体 の 性 能 や 信 頼 性 を 損 なうことなくこの 期 待 に 応 えることが 配 線 イ ンテグレーション 技 術 の 鍵 となる 受 動 素 子 のインテグレーションには 大 きく 2 種 類 のアプローチがある 一 つは 最 高 の 性 能 最 高 の Q 値 最 小 のチップ 占 有 面 積 で 必 要 な 機 能 を 達 成 するため 追 加 の 配 線 層 と 新 材 料 を 導 入 するもの もう 一 つは 既 存 の 配 線 層 と 材 料 で 可 能 な 範 囲 のデザインと 固 有 特 性 で 実 現 するも

94 86 配 線 のである( 内 在 配 線 容 量 ) 後 者 のアプローチは ウェーハ 工 程 の 追 加 がないため 製 造 コストの 点 で 大 き なアドバンテージがある ただし 性 能 の 制 約 や 低 い Q 値 チップ 占 有 面 積 の 増 加 に 難 点 がある どちらの 場 合 でも ミクスドシグナルや RF-CMOS 製 品 においては 優 れたマッチング 特 性 対 基 板 容 量 ノ イズ 他 の 寄 生 素 子 の 低 減 と 制 御 性 を 実 現 することが 重 要 な 課 題 である 最 も 広 く 使 われている 受 動 素 子 ( 容 量 抵 抗 インダクタなど)について アナログ ミクスドシグナル RF 製 品 のテクノロジーノード 毎 の 将 来 の 展 望 は RF and Analog/Mixed-signal Technologies for Wireless Communications の 章 に 記 載 されてい る 以 下 に 容 量 (MIM 容 量 内 在 配 線 容 量 ) インダクタ 抵 抗 の 代 表 的 な 応 用 例 要 求 インテグレーション における 課 題 について 議 論 する 配 線 構 造 で 実 現 される 新 規 の 革 新 的 な 受 動 素 子 へのアプローチに 関 し て 公 開 されている 例 についてもハイライトする 容 量 素 子 CMOS BiCMOS Bipolar チップ 上 への 適 用 例 MPU で CMOS 回 路 のスイッチングサイクル 中 にチップ 上 の 電 源 /GND 配 線 間 やチップ/パッケージ 配 線 間 で 発 生 する 遷 移 電 流 の 低 減 を 目 的 としたデカップリング 容 量 高 周 波 発 信 器 や 共 振 回 路 及 びマッチングネットワークで 用 いられる RF カップリング/RF バイパス 容 量 高 性 能 ミクスドシグナル 製 品 ( 例 えば A/D D/A コンバータ)で 用 いられるフィルタ/アナログ 容 量 DRAM DRAM 混 載 ロジック 製 品 で 用 いられる 記 憶 容 量 容 量 への 主 な 要 求 サイズが 小 さく 容 量 が 大 きいこと リークが 小 さく 絶 縁 損 失 が 少 ないこと 耐 圧 が 高 く TDDB 信 頼 性 が 高 いこと 同 一 チップ 内 にある 隣 り 合 った 容 量 間 で 絶 対 容 量 と(または) 相 対 容 量 の 精 度 が 高 いこと 広 範 囲 の 電 圧 で CV 特 性 のリニアリティが 高 いこと( 係 数 の 電 圧 依 存 が 低 いこと) 熱 依 存 性 が 低 いこと( 係 数 の 温 度 依 存 が 低 いこと) 寄 生 容 量 が 小 さいこと 高 い Q 値 での 高 スイッチングスピードを 可 能 にするため 電 極 と 配 線 の 抵 抗 が 低 く 過 度 の 過 熱 がないこ と プロセス 構 築 上 の 課 題 a) MIM 容 量 優 れた 膜 厚 均 一 性 と 制 御 性 をもった 高 品 質 の 超 薄 絶 縁 膜 容 量 のサイズを 小 さくするためできるだけ κ 値 の 高 い 絶 縁 膜 適 した 材 料 については 絶 縁 膜 に 関 す る 解 決 策 候 補 の 図 を 参 照 絶 縁 膜 メタル 双 方 の 欠 陥 密 度 が 低 いこと( 表 面 ラフネスが 低 いこと) メタライゼーションプロセス 全 体 と 適 合 するよう 成 膜 温 度 が 低 いこと(<450 ) 特 に Low-κ 膜 が 使 用 さ れている 場 合 トータルコストの 増 加 を 抑 えるため 既 存 の 配 線 層 を 最 大 限 に 利 用 できる 効 率 的 にモジュール 化 された インテグレーション 構 成 追 加 される 工 程 数 やオプションの 露 光 層 など 対 基 板 間 の 寄 生 容 量 成 分 を 減 らし 高 Q 値 を 維 持 するため 上 層 配 線 での MIM を 実 現 すること Low-κ 絶 縁 膜 を 下 層 配 線 で 使 うことも 効 果 的 であるが より 多 くのインテグレーション 上 の 課 題 が 発 生 する 可 能 性 がある b) 内 在 配 線 容 量 ( ネイティブ MOM VPP VNCAP) アグレッシブな 配 線 デザインルール( 細 いライン/スペース 幅 低 いビア 高 さ) 容 量 積 層 時 のメタル 層 /ビア 層 間 の 厳 しいアラインメント/ 重 ね 合 わせ 許 容 度

95 配 線 87 メタルのラインエッジラフネスを 最 小 限 に 抑 えた 厚 さと 寸 法 の 厳 しい 制 御 性 メタル 間 絶 縁 膜 (ポーラス Low-κ など)とメタル 膜 の 欠 陥 密 度 が 低 いこと 絶 縁 膜 ( 特 にポーラス Low-κ)に 対 してエッチング CMP 時 のダメージが 低 いこと MIM 容 量 では Al 配 線 ベース Cu 配 線 ベースとも メタライゼーションプロセスで 実 現 する 方 法 が 報 告 さ れている[1-5, 6-8, 18, 19] 生 産 中 のほとんどの MIM は 適 切 な 材 料 特 性 適 度 な RF 性 能 を 持 ち Al 配 線 Cu 配 線 技 術 上 での 容 易 なインテグレーションが 実 現 できる 容 量 膜 として シリコン 酸 化 膜 シリコン 酸 窒 化 膜 シリコン 窒 化 膜 を 用 いている[47] これらとは 異 なる 単 層 や 積 層 の MIM 構 造 は 130nm 世 代 の 多 層 Cu 配 線 技 術 で 実 現 され 特 性 が 示 された[48] HfO 2/Ta 2O 5/HfO 2 3 層 絶 縁 膜 と TaN 電 極 構 造 (~ 8fF/µm 2 )による 非 常 に 興 味 深 い 大 面 積 オンチップ MIM デカップリング 容 量 (>250nF)へのアプローチは 90nm の SOI マイクロプロセッサ 向 けとして 実 証 された[49, 66] 高 κ 値 の 絶 縁 膜 を 用 いたオンチップの 電 源 -GND プレーン 容 量 は 信 号 遅 延 やビット 当 たりのエネルギー 消 費 だけでなく IR-Drop di/dt ノイズ クロック 線 での 待 機 時 間 など グローバル 配 線 のスケーリングに 伴 う 問 題 を 大 幅 に 改 善 することが 期 待 され ている[78] いくつかの 論 文 では 配 線 との 適 合 性 のある 高 κ 値 MIM 絶 縁 膜 ( 例 えば Al 2O 3 Ta 2O 5 HfO 2 Nb 2O 5 TiTaO TiSiO 4 TaZrO BST STO TiLaO TiO 2 Bi 5Nb 3O 15)を 用 いたインテグレーションに 関 する 有 望 な データを 報 告 している[3, 20-24, 37, 38, 50-55, 71-74] 高 κ 値 MIM 絶 縁 膜 は PVD+ 適 切 なアニールか CVD ALD プロセスで 成 膜 され 全 熱 履 歴 を 通 常 以 下 に 抑 えている しかし リーク 電 流 電 圧 / 温 度 リニアリティ または TDDB 信 頼 性 の 点 では こういった 記 録 を 更 新 するような 容 量 密 度 からのアプロ ーチの 全 てが 有 用 なわけではない 最 近 これらの 問 題 を 解 決 する 異 なる 高 κ 値 MIM 絶 縁 膜 から 成 る ( 多 層 )ラミネートフィルムが 提 案 された[37, 39-41, 50] 電 極 材 料 の 仕 事 関 数 を 適 切 に 調 整 することで( 例 えば TaN を Ni に 置 き 換 える) STO のような 高 κ 値 絶 縁 膜 の MIM で リーク 電 流 の 大 幅 な 低 減 が 観 測 さ れている[54] 革 新 的 な 3D ダマシン MIM 容 量 により 電 極 / 絶 縁 膜 / 電 極 =TiN/Ta 2O 5/TiN(PEALD 使 用 ) 構 造 で 容 量 密 度 17fF/µm 2 が 実 現 された これは 通 常 工 程 にマスク 1 層 追 加 するだけで 多 層 Cu 配 線 に 混 載 されてい る[67-69] この 三 次 元 MIM における 研 究 は 広 がりを 見 せ Ta 2O 5 を 他 の PEALD で 成 膜 された 絶 縁 膜 (ZrO 2 HfO 2 Al 2O 3)と 組 み 合 わせることで 容 量 密 度 30fF/µm 2 まで 達 成 されている[69] 下 層 にある Cu 配 線 を 下 部 電 極 として 活 用 し( 総 膜 厚 は 約 100nm で SiN 絶 縁 膜 10nm 容 量 密 度 6.3fF/µm 2 ) 縮 小 した 2 層 の Cu 配 線 層 間 に 適 用 した 超 薄 MIM 容 量 の 積 層 構 造 によるまったく 異 なるア プローチで 有 望 な 電 圧 / 温 度 リニアリティや 10 年 以 上 の TDDB 寿 命 を 満 足 することが 実 証 された[70] MIM 容 量 の 製 造 においては 高 密 度 の 容 量 高 品 質 Q 値 良 好 な 信 頼 性 追 加 コストの 抑 制 が 課 題 であ る そのため 多 くのアプリケーションでは 面 積 容 量 密 度 はある 程 度 抑 制 された 状 態 で 単 純 に 水 平 垂 直 の 並 行 平 板 異 なる 配 線 層 での 櫛 型 構 造 などによる 寄 生 またはネイティブ 容 量 が 使 用 されている[25, 26, 34, 81] この 方 法 では プロセスの 複 雑 さ 回 避 製 造 コスト 低 減 とチップ 占 有 率 がトレードオフになって いる 内 在 配 線 容 量 の 最 大 の 利 点 は ウェーハプロセスを 変 更 すること 無 く 設 計 とレイアウト 手 法 のみで 実 現 最 適 化 できることである チップ 面 積 当 たりの 容 量 密 度 の 観 点 から 今 後 の CMOS 技 術 における 持 続 的 な 配 線 のシュリンクと 配 線 層 の 増 加 は ネイティブ またはナチュラル 容 量 をより 競 争 力 のあるものにす る 65nm と 45nm テクノロジーノードでは 良 好 なリニアリティ TDDB 耐 性 >2fF/µm 2 の 容 量 密 度 1GHz で>20 の Q 値 を 持 つネイティブ 容 量 が 報 告 されている[75-77] 一 方 32nm ノードでは 容 量 密 度 は 4fF/µm 2 になると 予 測 されている[63] 更 に 32nm では MOM メタルフィンガーパターンによる 容 量 で 最 大 10GHz で>100 の Q 値 が 報 告 されている[81] 今 日 のこれらの 有 望 な 結 果 から 65nm 以 降 のノードで は 標 準 の CMOS 設 計 基 盤 ファンダリ 製 造 技 術 で 提 供 されるものでは 内 在 配 線 容 量 が 標 準 となるだろう インダクタ オンチップインダクタ 特 に RF 回 路 での 適 用 例 近 年 のマイクロ 波 RF 回 路 中 で 個 別 に 作 成 されたブロック 間 のインピーダンスマッチング 周 波 数 の 増 加 により オンチップインダクタの 重 要 性 は 将 来 更 に 増 大 する[9-11] RF トランシーバ

96 88 配 線 フィルタ 電 位 制 御 オシレータ(VCO) パワーアンプ 低 ノイズアンプ(LNA) インダクタへの 主 な 要 求 高 インダクタンスでの 高 Q 値 の 実 現 インダクタンスの 増 加 は 通 常 Q 値 を 減 少 させる 高 い 自 己 共 鳴 周 波 数 (fsr) インダクタコイルにおける 低 い 抵 抗 損 失 ( 低 周 波 で 支 配 的 ) 基 板 による 容 量 損 失 が 低 いこと( 高 周 波 で 支 配 的 ) 基 板 /インダクタ 間 相 互 作 用 によって 発 生 する 渦 電 流 が 低 いこと 高 周 波 では 実 行 抵 抗 の 増 加 になる プロセス 構 築 上 の 課 題 コイルの 抵 抗 を 下 げるため 厚 膜 メタル 配 線 を 活 用 する Cu 配 線 は 従 来 の Al 配 線 と 比 較 して 優 位 である Cu ダマシン 法 で 形 成 されるスパイラルインダクタでは Al で 形 成 されたものに 比 べ Q 値 で 2 の 向 上 が 報 告 されている[12] ただし 異 なる 配 線 層 に 分 岐 した Al コイルにも 実 現 性 はある 基 板 とインダクタ 間 に 十 分 な 距 離 をあける 例 えばコイルを 配 線 層 の 最 上 部 またはパッシベーション 膜 の 上 ポリイミド 内 に 配 置 する 方 法 [13-16]は 寄 生 容 量 寄 生 インダクタを 減 らし Q 値 を 改 善 する さら に Low-κ 材 料 は 寄 生 容 量 と 基 板 ノイズを 減 らす 高 抵 抗 の Si 基 板 の 活 用 により 基 板 による 寄 生 素 子 損 失 は 改 善 する ただしこの 手 段 はどのような 場 合 も 実 現 可 能 とは 限 らない[10] インダクタ 下 の 最 下 層 の 配 線 層 への 金 属 シールド(メタルグランドプレーン)の 導 入 により 基 板 内 の 渦 電 流 損 失 を 低 減 することができる[9-11] 現 状 では Al か Cu 配 線 単 層 で 形 成 されるスパイラルコイルが 最 も 一 般 的 なオンチップコンダクタである しかし 分 割 された 多 層 スパイラル 型 やソレノイド 型 のインダクタも 基 板 による 損 失 が 少 ないことから 将 来 使 われる 可 能 性 もある[12] スパイラルインダクタの Q 値 に 対 する 厚 膜 配 線 層 (5µm-22.5µm)や 最 内 周 径 の 効 果 [43]も Cu 配 線 ベー スの 積 層 インダクタの 上 に Al 層 を 追 加 する 効 果 への 疑 いとともに 報 告 されている[44] 吊 り 下 げ Al スパイラルインダクタ[27]と Al ソレノイドインダクタ[46]にエアギャップを 採 用 することで 対 基 板 カップリングを 低 減 し Q 値 が 大 幅 に 改 善 された 表 面 マイクロ 加 工 により 周 波 数 6GHz Q 値 70 で 1.38nH(@1GHz)の 吊 り 下 げスパイラルインダクタが 実 証 された[28] しかし 吊 り 下 げインダクタの 断 熱 性 に よる 顕 著 な 自 己 発 熱 のため RF 回 路 における Q 値 と 動 作 点 の 変 動 が 発 生 するという 結 果 がある[56] その 他 の Q 値 向 上 (30%-70%)の 方 法 として デバイス 形 成 後 ( 配 線 形 成 前 [32] 後 [33])の 陽 子 照 射 により イ ンダクタコイル 下 に 局 所 的 な 半 絶 縁 Si 基 板 を 形 成 する 方 法 がある ポーラス Si 基 板 も Q 値 と 共 鳴 振 動 数 を 改 善 する 手 段 として 報 告 されている[45] スパイラルインダクタ 下 の Si 基 板 中 に 部 分 的 に 導 入 された Low-κ 絶 縁 膜 により 寄 生 容 量 の 抑 制 と Q 値 の 改 善 が 示 された[57] SOI 基 板 使 用 した 例 では ~20 に 達 する Q 値 がマスクや 工 程 の 追 加 なしに 実 現 された[34] 90nmRF-CMOS 基 板 技 術 で 形 成 された 多 層 Cu/SiO 2 配 線 の 上 で パッシベーション 膜 上 (IC 上 )の 5µm 厚 Cu 配 線 と BCB 絶 縁 膜 (κ 値 ~2.7)からなる インダクタで 超 高 Q 値 (~40)が 報 告 された[35] 磁 性 体 材 料 を 用 いたマイクロインダクタのインテグレーションについての 報 告 もある CoZrTa からなる 磁 気 グランドプレーンの 導 入 により 方 形 スパイラルインダクタのインダクタンスが 36~50% 増 加 した[17] 強 磁 性 体 CoNbZr の 2 層 ではさんだスパイラルインダクタでは 19%のインダクタンス 向 上 と 2GHz で 23%の Q 値 改 善 が 実 証 された[29] 2 層 の 磁 性 層 を 磁 性 ビアで 結 び CoZrTa 合 金 の 単 軸 磁 気 異 方 性 を 活 用 した 適 切 なインダクタ 形 状 により 更 なるインダクタンスと Q 値 の 改 善 が 実 現 された[58] 他 の 例 では 強 磁 性 コア (Cr/Fe 10Co 90/Cr)をソレノイドインダクタと 一 体 化 させたものがある[30] 低 周 波 数 (<0.2GHz)では 強 磁 性 コアの 利 用 により 最 大 8 倍 のインダクタンス 増 加 と 最 大 7 倍 の Q 値 改 善 が 達 成 された ただし 高 周 波 数 で は 強 磁 性 コア 内 の 強 磁 気 共 鳴 損 失 と 渦 電 流 により これらの 改 善 効 果 は 大 きく 目 減 りしている

97 配 線 89 4 層 積 層 配 線 中 に 形 成 された 隣 接 する 3D ソレノイド 型 チップ 上 インダクタ 間 のクロストークは 磁 気 カップリ ングと 基 板 ノイズに 左 右 され これがインダクタと Si 基 板 間 にガードリングを 設 けることで 低 減 できることがわ かった[79] 3D パッケージ IC でのアプローチでは 超 薄 (1.7µm) 上 部 Si チップ 上 のインダクタで 上 下 チップ 間 に 磁 気 を 遮 蔽 する Fe/Ni パーマロイ 膜 を 適 用 することで 基 板 ノイズが 大 幅 に 低 減 したという 報 告 もある[36] ウェ ーハトランスファー 技 術 により 事 前 形 成 した RF インダクタを Si 基 板 からフレキシブルプラスチックパッケ ージ 基 板 (FR-4)に 移 動 することで Q 値 と 共 鳴 周 波 数 において 大 きな 性 能 改 善 がみられた[59] スパイラ ルインダクタ 上 の 可 動 メタルプレートを 活 用 した ウェーハレベルパッケージに 搭 載 される 可 変 オンチップイ ンダクタが 提 案 されている[60] 可 変 インダクタの 基 本 的 実 現 性 調 査 はすでに 実 行 されてきたが メタルプ レートをウェーハレベルパッケージ 中 で 動 かすための MEMS アクチュエータの 実 装 は 実 現 未 了 である 高 Q 値 のインダクタを 形 成 するためのこれらの 多 くの 選 択 肢 は 科 学 的 見 地 からはとても 興 味 深 い しかしこ れらを 量 産 環 境 で 実 現 化 するのは 非 常 に 困 難 で 費 用 が 掛 かる そのため 高 Q 値 のインダクタが 本 当 に 必 要 な 場 合 は 2-8µm 膜 厚 Cu からなる 最 上 部 極 太 配 線 層 を 活 用 するというのが 最 も 単 純 なアプローチで ある 最 大 20 の Q 値 が 32nm の SoC プラットフォーム 技 術 で 実 現 したことが 報 告 されている[81, 82] 抵 抗 体 オンチップ 薄 膜 抵 抗 アプリケーション 特 にアナログとミクスドシグナル 回 路 の 適 用 例 クロック バス 終 端 高 精 度 抵 抗 配 列 /ネットワーク 電 圧 分 配 抵 抗 体 への 主 な 要 求 優 れたマッチング 特 性 高 精 度 な 抵 抗 制 御 高 い 電 圧 リニアリティ( 低 い 電 圧 係 数 ) 低 い 温 度 係 数 (TCR) 低 い 1/f ノイズ 高 い Q 値 ( 低 い 寄 生 成 分 ) プロセス 構 築 上 の 課 題 適 度 で 調 整 可 能 な 層 抵 抗 優 れた 膜 厚 制 御 ( 成 膜 均 一 性 ) モジュール 化 されたインテグレーション 手 法 絶 縁 膜 に 対 して 高 いエッチング 選 択 比 標 準 的 な 配 線 金 属 の 使 用 配 線 ベースの 薄 膜 抵 抗 のインテグレーションに 関 する 論 文 は 比 較 的 少 ない 興 味 深 いアプローチとしては 異 種 膜 の 化 学 量 論 に 基 づき PVD TaN ベースの MIM 容 量 基 板 として 用 いるプレートを 可 変 の 高 精 度 TaN 薄 膜 抵 抗 として 複 数 の 用 途 に 用 いた 例 がある 低 い 電 圧 リニアリティと 温 度 係 数 優 れたマッチング 特 性 がこの TaN フィルムについて 報 告 されている[8] もう 一 つのアプローチでは 配 線 プロセスに 基 づいて 形 成 した 程 良 い TCR 値 をもつ 抵 抗 体 として PVD WSi xを 用 いた 報 告 もある[31] 標 準 CMOS プロセス 内 でインテグレーションされた TCR 値 ほぼ 0 の Ti/Ni(80%)Cr(20%) 薄 膜 抵 抗 の 報 告 もある[80] 薄 膜 TiN xo y 抵 抗 も 提 案 されており 0.5µm ノード CMOS 技 術 の Al 配 線 プロセスでインテグレーションされてい る[83]

98 90 配 線 7.2 絶 縁 膜 補 足 Recalculation of keff based on Bulk k Value Update for ITRS2011 (~2018, Realistic Case) Typical two kinds of dielectric structures with realistic low-k materials were used for keff calculation <2013,2014,2015> Assumptions Cu D.B height = 20nm Hardmask height = NA Via height = 45nm Trench height = 51nm Minimum L/S = 27nm Assumptions Cu D.B height = 30nm Hardmask height = NA Via height = 72nm Trench height = 80nm Minimum L/S = 45nm Assumptions K (Cu D.B) = 4.0 K (Hardmask) = NA K (via) = 2.55, 2.7 K (trench) = 2.55, 2.7 K eff = 2.82, 2.94 Assumptions Cu D.B height = 20nm Hardmask height = 20nm Via height = 45nm Trench height = 51nm Minimum L/S = 27nm Assumptions Cu D.B height = 30nm Hardmask height = 30nm Via height = 72nm Trench height = 80nm Minimum L/S = 45nm Assumptions K (Cu D.B) = 4.0 K (Hardmask) = 3.0 K (via) = 2.55, 2.7 K (trench) = 2.55, 2.7 K (Middle-STP) = 4.0 K eff = 3.16 Assumptions Cu D.B height = 15nm Hardmask height = NA Via height = 34nm Trench height = 38nm Minimum L/S = 19m <2010,2011,2012> <2016,2017,2018> Assumptions Cu D.B height = 15nm Hardmask height = 15nm Via height = 34nm Trench height = 38m Minimum L/S = 19nm Assumptions K (Cu D.B) = 3.5 K (Hardmask) = NA K (via) = 2.55, 2.6 K (trench) = 2.55, 2.6 K eff = 2.75, 2.87 Assumptions K (Cu D.B) = 3.5 K (Hardmask) = 2.7 K (via) = 2.55, 2.6 K (trench) = 2.55, 2.6 K (Middle-STP) = 3.5 K eff = 3.00 Assumptions K (Cu D.B) = 3.0 K (Hardmask) = NA K (via) = 2.4, 2.55 K (trench) = 2.4, 2.55 K eff = 2.55, 2.66 Assumptions K (Cu D.B) = 3.0 K (Hardmask) = 2.55 K (via) = 2.4, 2.55 K (trench) = 2.4, 2.55 K (Middle-STP) = 3.0 K eff = 2.73 Figure INTCA1 Dielectric Potential Solutions (2010~2018) Realistic Case

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6 様 式 租 税 特 別 措 置 等 に 係 る 政 策 の 事 前 評 価 書 1 政 策 評 価 の 対 象 とした 産 業 活 力 の 再 生 及 び 産 業 活 動 の 革 新 に 関 する 特 別 措 置 法 に 基 づく 登 録 免 租 税 特 別 措 置 等 の 名 称 許 税 の 特 例 措 置 の 延 長 ( 国 税 32)( 登 録 免 許 税 : 外 ) 2 要 望 の 内 容

More information

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63>

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63> 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 平 成 27 年 6 月 18 日 一 般 社 団 法 人 日 本 電 設 工 業 協 会 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 について 1. 調 査 の 目 的 社 会 保 険 加 入 促 進 計 画 の 計 画 期 間 (H24 年 度 ~H28 年 度 までの5 年 間 )の 中 間 時 点 として 1

More information

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図 平 成 2 8 年 3 月 25 日 NACSIS-CAT 検 討 作 業 部 会 NACSIS-CAT/ILL の 軽 量 化 合 理 化 について( 基 本 方 針 )( 案 ) これからの 学 術 情 報 システム 構 築 検 討 委 員 会 ( 以 下, これから 委 員 会 ) は これか らの 学 術 情 報 システムの 在 り 方 について ( 平 成 27 年 5 月 29 日 )

More information

<4D F736F F F696E74202D2082C882E982D982C DD8ED88EE688F882CC82B582AD82DD C668DDA9770>

<4D F736F F F696E74202D2082C882E982D982C DD8ED88EE688F882CC82B582AD82DD C668DDA9770> な る ほ ど! 貸 借 取 引 のしくみ Japan Securities Finance Co.,Ltd 1 目 次 1. 貸 借 取 引 について 2. 貸 借 取 引 における 株 式 調 達 について 3. 品 貸 料 の 決 定 方 法 について 4. 制 限 措 置 を 含 む 貸 借 取 引 情 報 について 5.よくある 質 問 Japan Securities Finance Co.,Ltd

More information

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63>

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63> 平 成 22 年 11 月 9 日 高 校 等 の 授 業 料 無 償 化 の 拡 大 検 討 案 以 下 は 大 阪 府 の 検 討 案 の 概 要 であり 最 終 的 には 平 成 23 年 2 月 議 会 での 予 算 の 議 決 を 経 て 方 針 を 確 定 する 予 定 です Ⅰ. 検 討 案 の 骨 子 平 成 23 年 度 から 大 阪 の 子 どもたちが 中 学 校 卒 業 時 の

More information

私立大学等研究設備整備費等補助金(私立大学等

私立大学等研究設備整備費等補助金(私立大学等 私 立 大 学 等 研 究 設 備 整 備 費 等 補 助 金 ( 私 立 大 学 等 研 究 設 備 等 整 備 費 ) 交 付 要 綱 目 次 第 1 章 通 則 ( 第 1 条 - 第 4 条 ) 第 2 章 私 立 大 学 等 ( 第 5 条 - 第 15 条 ) 第 3 章 専 修 学 校 ( 第 16 条 - 第 25 条 ) 第 4 章 補 助 金 の 返 還 ( 第 26 条 ) 第

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

1

1 精 華 町 個 人 情 報 保 護 条 例 改 正 に 向 けての 考 え 方 ( 案 ) 平 成 27 年 4 月 精 華 町 0 1 目 次 1 個 人 情 報 保 護 に 関 する 法 体 系 と 番 号 法 における 特 定 個 人 情 報 の 保 護 措 置... 1 2 番 号 法 と 精 華 町 個 人 情 報 保 護 条 例 における 個 人 情 報 の 定 義 上 の 差 異...

More information

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene.

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene. 平 成 23 年 3 月 期 第 1 四 半 期 決 算 短 信 日 本 基 準 ( 連 結 ) 平 成 22 年 8 月 11 日 上 場 会 社 名 松 井 建 設 株 式 会 社 上 場 取 引 所 東 コ ー ド 番 号 1810 URL http://www.matsui-ken.co.jp/ 代 表 者 ( 役 職 名 ) 取 締 役 社 長 ( 氏 名 ) 松 井 隆 弘 ( 役 職

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

(別紙3)保険会社向けの総合的な監督指針の一部を改正する(案)

(別紙3)保険会社向けの総合的な監督指針の一部を改正する(案) 監 督 指 針 Ⅱ 保 険 監 督 上 の 評 価 項 目 Ⅱ-2-7 商 品 開 発 に 係 る 内 部 管 理 態 勢 Ⅱ-2-7-2 主 な 着 眼 点 (1)~(4) (5) 関 連 部 門 との 連 携 1~3 4 関 連 部 門 は 販 売 量 拡 大 や 収 益 追 及 を 重 視 する 例 えば 営 業 推 進 部 門 や 収 益 部 門 から 不 当 な 影 響 を 受 けることなく

More information

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や 参 考 資 料 1-17 民 間 都 市 整 備 事 業 建 築 計 画 に 関 わる 関 連 制 度 の 整 理 都 市 開 発 諸 制 度 には 公 開 空 地 の 確 保 など 公 共 的 な 貢 献 を 行 う 建 築 計 画 に 対 して 容 積 率 や 斜 線 制 限 などの 建 築 基 準 法 に 定 める 形 態 規 制 を 緩 和 することにより 市 街 地 環 境 の 向 上 に

More information

<4D6963726F736F667420576F7264202D2030322090AC90D1955D92E8977697CC82CC895E9770816990DD8C76939995D2816A2E646F63>

<4D6963726F736F667420576F7264202D2030322090AC90D1955D92E8977697CC82CC895E9770816990DD8C76939995D2816A2E646F63> 土 木 部 建 築 設 計 及 び 工 事 監 理 等 委 託 業 務 成 績 評 定 要 領 の 運 用 ( 建 築 設 計 等 委 託 業 務 編 ) ( 評 定 の 方 法 ) 第 1 評 定 者 は 評 定 を 行 おうとする 業 務 ( 以 下 対 象 業 務 という )について 別 添 の 採 点 表 により 評 定 を 行 うものとし 評 価 項 目 評 価 の 視 点 及 び 評 価

More information

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378>

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378> 平 成 27 年 度 施 策 評 価 調 書 施 策 の 名 称 等 整 理 番 号 22 評 価 担 当 課 営 業 戦 略 課 職 氏 名 施 策 名 ( 基 本 事 業 ) 商 業 の 活 性 化 総 合 計 画 の 位 置 づけ 基 本 目 主 要 施 策 4 想 像 力 と 活 力 にあふれたまちづくり 商 業 の 振 興 2 施 策 の 現 状 分 析 と 意 図 施 策 の 対 象 意

More information

Microsoft PowerPoint - 報告書(概要).ppt

Microsoft PowerPoint - 報告書(概要).ppt 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 論 点 と 意 見 について ( 概 要 ) 神 奈 川 県 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 検 討 会 議 について 1 テーマ 地 方 公 務 員 制 度 改 革 ( 総 務 省 地 方 公 務 員 の 労 使 関 係 制 度 に 係 る 基 本 的 な 考 え 方 )の 課 題 の 整

More information

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可 ミスミグループ コーポレートガバナンス 基 本 方 針 本 基 本 方 針 は ミスミグループ( 以 下 当 社 グループ という)のコーポレートガバナン スに 関 する 基 本 的 な 考 え 方 を 定 めるものである 1. コーポレートガバナンスの 原 則 (1) 当 社 グループのコーポレートガバナンスは 当 社 グループの 持 続 的 な 成 長 と 中 長 期 的 な 企 業 価 値 の

More information

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑 等 の 当 初 見 直 し 案 の 検 討 状 況 について 資 料 1-1 項 目 名 検 討 検 討 の 進 め 方 検 討 状 況 都 道 府 県 担 当 者 との 意 見 交 換 では 結 果 精 度 の 低 下 に 伴 い 結 果 が 活 用 されなくなった 場 合 の 員 のモチベーション 低 下 の 可 能 性 や 員 の 配 置 換 え 等 についての 考 慮 が 必 要 との 意

More information

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農 国 営 かんがい 排 水 事 業 石 垣 島 地 区 事 業 の 概 要 本 事 業 は 沖 縄 本 島 から 南 西 約 400kmにある 石 垣 島 に 位 置 する 石 垣 市 の4,338haの 農 業 地 帯 において 農 業 用 水 の 安 定 供 給 を 図 るため 農 業 水 利 施 設 の 改 修 整 備 を 行 うものである 事 業 の 目 的 必 要 性 本 地 区 は さとうきびを

More information

●電力自由化推進法案

●電力自由化推進法案 第 一 八 五 回 参 第 二 号 電 力 自 由 化 推 進 法 案 目 次 第 一 章 総 則 ( 第 一 条 - 第 三 条 ) 第 二 章 電 力 自 由 化 の 基 本 方 針 ( 第 四 条 - 第 九 条 ) 第 三 章 電 力 自 由 化 推 進 本 部 ( 第 十 条 - 第 十 九 条 ) 附 則 第 一 章 総 則 ( 目 的 ) 第 一 条 この 法 律 は 平 成 二 十

More information

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1 独 立 行 政 法 人 統 計 センター( 法 人 番 号 7011105002089)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 独 立 行 政 法 人 通 則 法 第 52 条 第 3 項 の 規 定 に 基 づき

More information

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について 平 成 25 年 度 独 立 行 政 法 日 本 学 生 支 援 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 日 本 学 生 支 援 機 構 は 奨 学 金 貸 与 事 業 留 学 生 支 援

More information

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料 別 添 72 後 退 灯 の 技 術 基 準 1. 適 用 範 囲 等 この 技 術 基 準 は 自 動 車 に 備 える 後 退 灯 に 適 用 する( 保 安 基 準 第 40 条 関 係 ) ただし 法 第 75 条 の2 第 1 項 の 規 定 によりその 型 式 について 指 定 を 受 けた 白 色 の 前 部 霧 灯 が 後 退 灯 として 取 付 けられている 自 動 車 にあっては

More information

<31352095DB8CAF97BF97A6955C2E786C73>

<31352095DB8CAF97BF97A6955C2E786C73> 標 準 報 酬 月 額 等 級 表 ( 厚 生 年 金 ) 標 準 報 酬 報 酬 月 額 厚 生 年 金 保 険 料 厚 生 年 金 保 険 料 率 14.642% ( 平 成 18 年 9 月 ~ 平 成 19 年 8 月 ) 等 級 月 額 全 額 ( 円 ) 折 半 額 ( 円 ) 円 以 上 円 未 満 1 98,000 ~ 101,000 14,349.16 7,174.58 2 104,000

More information

スライド 1

スライド 1 公 的 年 金 制 度 の 健 全 性 及 び 信 頼 性 の 確 保 のための 厚 生 年 金 保 険 法 等 の 一 部 を 改 正 する 法 律 について 厚 生 労 働 省 年 金 局 公 的 年 金 制 度 の 健 全 性 及 び 信 頼 性 の 確 保 のための 厚 生 年 金 保 険 法 等 の 一 部 を 改 正 する 法 律 ( 平 成 25 年 法 律 第 63 号 )の 概 要

More information

平成17年度高知県県産材利用推進事業費補助金交付要綱

平成17年度高知県県産材利用推進事業費補助金交付要綱 高 知 県 副 業 型 林 家 育 成 支 援 事 業 募 集 要 領 第 1 趣 旨 この 要 領 は 高 知 県 副 業 型 林 家 育 成 支 援 事 業 費 補 助 金 交 付 要 綱 に 基 づき 当 該 補 助 金 の 交 付 の 対 象 となる 事 業 者 を 公 募 して 選 定 する 手 続 等 当 該 事 業 の 円 滑 な 実 施 を 図 るために 必 要 な 事 項 を 定

More information

リング 不 能 な 将 来 減 算 一 時 差 異 に 係 る 繰 延 税 金 資 産 について 回 収 可 能 性 がないも のとする 原 則 的 な 取 扱 いに 対 して スケジューリング 不 能 な 将 来 減 算 一 時 差 異 を 回 収 できることを 反 証 できる 場 合 に 原 則

リング 不 能 な 将 来 減 算 一 時 差 異 に 係 る 繰 延 税 金 資 産 について 回 収 可 能 性 がないも のとする 原 則 的 な 取 扱 いに 対 して スケジューリング 不 能 な 将 来 減 算 一 時 差 異 を 回 収 できることを 反 証 できる 場 合 に 原 則 第 323 回 企 業 会 計 基 準 委 員 会 資 料 番 号 日 付 審 議 事 項 (5)-4 2015 年 11 月 6 日 プロジェクト 項 目 税 効 果 会 計 公 開 草 案 に 対 するコメントへの 対 応 - 合 理 的 な 説 明 に 関 する 取 扱 い 本 資 料 の 目 的 1. 本 資 料 は 企 業 会 計 基 準 適 用 指 針 公 開 草 案 第 54 号 繰

More information

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定 62 (Q&A) 目 次 1 鑑 定 評 価 の 委 託 は 入 札 か 随 意 契 約 か またその 理 由 は 何 か 2 委 託 料 は 他 県 と 比 べて 妥 当 性 のある 金 額 か 3 地 価 公 示 ( 国 の 調 査 )との 違 いは 何 か また 国 の 調 査 結 果 はどう 活 用 しているか 4 路 線 価 を 利 用 しない 理 由 は 何 か 5 委 託 料 の 算

More information

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36 独 立 行 政 法 人 駐 留 軍 等 労 働 者 労 務 管 理 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 検 証 結 果 理 事 長 は 今 中 期 計 画 に 掲 げた 新 たな 要

More information

001-00 セルフメディケーション推進のための一般用医薬品等に関する所得控除制度の創設(個別要望事項:HP掲載用)

001-00 セルフメディケーション推進のための一般用医薬品等に関する所得控除制度の創設(個別要望事項:HP掲載用) 平 成 28 年 度 地 方 税 制 改 正 ( 税 負 担 軽 減 措 置 等 ) 要 望 事 項 ( 新 設 拡 充 延 長 その 他 ) No 1 府 省 庁 名 厚 生 労 働 省 対 象 税 目 個 人 住 民 税 法 人 住 民 税 事 業 税 不 動 産 取 得 税 固 定 資 産 税 事 業 所 税 その 他 ( ) 要 望 項 目 名 要 望 内 容 ( 概 要 ) セルフメディケーション

More information

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加 別 添 事 務 連 絡 平 成 27 年 12 月 18 日 日 本 年 金 機 構 厚 生 年 金 保 険 部 長 殿 厚 生 労 働 省 年 金 局 事 業 管 理 課 長 持 続 可 能 な 医 療 保 険 制 度 を 構 築 するための 国 民 健 康 保 険 法 等 の 一 部 を 改 正 する 法 律 による 健 康 保 険 法 及 び 船 員 保 険 法 改 正 内 容 の 一 部 に

More information

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定 資 料 10 減 損 損 失 及 び 資 本 金 の 変 動 に 関 する 調 査 記 載 上 の 注 意 事 項 当 調 査 は 減 損 損 失 を 認 識 するに 至 った 経 緯 資 本 金 の の 変 動 等 を 把 握 するために 調 査 する ものである 調 査 対 象 は 地 方 公 営 企 業 状 況 調 査 の 対 象 となっている 法 適 用 企 業 とする Ⅰ 提 出 物 について

More information

Microsoft Word - 佐野市生活排水処理構想(案).doc

Microsoft Word - 佐野市生活排水処理構想(案).doc 佐 野 市 生 活 排 水 処 理 構 想 ( 案 ) 平 成 27 年 12 月 佐 野 市 目 次 1. 生 活 排 水 処 理 構 想 について 1.1 生 活 排 水 処 理 構 想 とは P.1 1.2 生 活 排 水 処 理 施 設 の 種 類 P.1 2. 佐 野 市 の 現 状 と 課 題 2.1 整 備 状 況 P.2 2.2 主 な 汚 水 処 理 施 設 P.2 2.3 生 活

More information

Microsoft Word 印刷ver 本編最終no1(黒字化) .doc

Microsoft Word 印刷ver 本編最終no1(黒字化) .doc 3 目 標 使 用 年 数 の 設 定 3-1. 耐 用 年 数 と 目 標 使 用 年 数 の 考 え 方 1. 目 標 使 用 年 数 の 考 え 方 (1) 台 東 区 施 設 白 書 ( 平 成 26 年 7 月 ) における 使 用 年 数 ( 更 新 周 期 ) 台 東 区 施 設 白 書 ( 平 成 26 年 7 月 ) においては 国 が 示 す 試 算 基 準 ( 地 方 公 共

More information

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ Ⅰ 調 査 の 概 要 Ⅱ 札 幌 の 子 どもの 学 力 学 習 意 欲 等 について Ⅲ 学 力 調 査 の 結 果 概 要 及 び 改 善 の 方 向 等 について Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果

More information

スライド 1

スライド 1 社 会 保 障 税 一 体 改 革 における 年 金 関 連 法 案 について 年 金 機 能 強 化 法 案 (3 月 30 日 提 出 ) 国 会 提 出 時 の 法 案 の 内 容 1 基 礎 年 金 国 庫 負 担 2 分 の1の 恒 久 化 2 受 給 資 格 期 間 の 短 縮 (25 年 10 年 ) 3 産 休 期 間 中 の 社 会 保 険 料 免 除 4 遺 族 基 礎 年 金

More information

< 現 在 の 我 が 国 D&O 保 険 の 基 本 的 な 設 計 (イメージ)> < 一 般 的 な 補 償 の 範 囲 の 概 要 > 請 求 の 形 態 会 社 の 役 員 会 社 による 請 求 に 対 する 損 免 責 事 由 の 場 合 に 害 賠 償 請 求 は 補 償 されず(

< 現 在 の 我 が 国 D&O 保 険 の 基 本 的 な 設 計 (イメージ)> < 一 般 的 な 補 償 の 範 囲 の 概 要 > 請 求 の 形 態 会 社 の 役 員 会 社 による 請 求 に 対 する 損 免 責 事 由 の 場 合 に 害 賠 償 請 求 は 補 償 されず( 別 紙 2 会 社 役 員 賠 償 責 任 保 険 (D&O 保 険 )の 実 務 上 の 検 討 ポイント 第 1 会 社 役 員 賠 償 責 任 保 険 (D&O 保 険 )の 概 要 会 社 役 員 賠 償 責 任 保 険 ( 以 下 D&O 保 険 ) とは 保 険 契 約 者 である 会 社 と 保 険 者 である 保 険 会 社 の 契 約 により 被 保 険 者 とされている 役 員

More information

通 知 カード と 個 人 番 号 カード の 違 い 2 通 知 カード ( 紙 )/H27.10 個 人 番 号 カード (ICカード)/H28.1 様 式 (おもて) (うら) 作 成 交 付 主 な 記 載 事 項 全 国 ( 外 国 人 含 む)に 郵 送 で 配 布 希 望 者 に 交

通 知 カード と 個 人 番 号 カード の 違 い 2 通 知 カード ( 紙 )/H27.10 個 人 番 号 カード (ICカード)/H28.1 様 式 (おもて) (うら) 作 成 交 付 主 な 記 載 事 項 全 国 ( 外 国 人 含 む)に 郵 送 で 配 布 希 望 者 に 交 1 マイナンバー 制 度 の 導 について( 案 ) 平 成 27 年 7 22 日 部 会 議 資 料 総 務 部 ( 政 管 理 課 情 報 政 策 課 庶 務 課 ) 市 活 部 ( 市 窓 課 籍 住 記 録 課 ) 通 知 カード と 個 人 番 号 カード の 違 い 2 通 知 カード ( 紙 )/H27.10 個 人 番 号 カード (ICカード)/H28.1 様 式 (おもて) (うら)

More information

<4D6963726F736F667420576F7264202D208E52979C8CA78E598BC68F5790CF91A390698F9590AC8BE08CF0957497768D6A2E646F6378>

<4D6963726F736F667420576F7264202D208E52979C8CA78E598BC68F5790CF91A390698F9590AC8BE08CF0957497768D6A2E646F6378> 山 梨 県 産 業 集 積 促 進 助 成 金 交 付 要 綱 ( 通 則 ) 第 1 条 山 梨 県 産 業 集 積 促 進 助 成 金 ( 以 下 助 成 金 という )の 交 付 については 山 梨 県 補 助 金 等 交 付 規 則 ( 昭 和 36 年 山 梨 県 規 則 第 25 号 以 下 規 則 という )に 定 め るところによるほか この 要 綱 に 定 めるところによる ( 目

More information

<4D6963726F736F667420576F7264202D2097988976918A94BD837D836C83578381839383678B4B92F62E646F6378>

<4D6963726F736F667420576F7264202D2097988976918A94BD837D836C83578381839383678B4B92F62E646F6378> 一 般 社 団 法 人 日 本 ジェネリック 医 薬 品 学 会 利 益 相 反 マネジメント 規 程 ( 目 的 ) 第 1 条 一 般 社 団 法 人 日 本 ジェネリック 医 薬 品 学 会 ( 以 下 本 学 会 と 略 す)は その 活 動 におい て 社 会 的 責 任 と 倫 理 性 が 求 められていることに 鑑 み 利 益 相 反 マネジメント 規 程 を 策 定 する その 目

More information

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている 清 瀬 市 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (25 年 度 末 ) 25 年 度 千 74,247 27,195,534 A 768,602 千 4,616,550 B 千 17.0 B/A 昨 年 度 の 件 費 率 17.3

More information

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし 3 会 計 基 準 の 見 直 しの 主 な 内 容 (1) 借 入 金 借 入 金 制 度 を 廃 止 し 建 設 又 は 改 良 に 要 する 資 金 に 充 てるための 企 業 債 及 び 一 般 会 計 又 は 他 の 特 別 会 計 からの 長 期 借 入 金 は に 計 上 することとなりまし た に 計 上 するに 当 たり 建 設 又 は 改 良 等 に 充 てられた 企 業 債 及

More information

公 的 年 金 制 度 について 制 度 の 持 続 可 能 性 を 高 め 将 来 の 世 代 の 給 付 水 準 の 確 保 等 を 図 るため 持 続 可 能 な 社 会 保 障 制 度 の 確 立 を 図 るための 改 革 の 推 進 に 関 する 法 律 に 基 づく 社 会 経 済 情

公 的 年 金 制 度 について 制 度 の 持 続 可 能 性 を 高 め 将 来 の 世 代 の 給 付 水 準 の 確 保 等 を 図 るため 持 続 可 能 な 社 会 保 障 制 度 の 確 立 を 図 るための 改 革 の 推 進 に 関 する 法 律 に 基 づく 社 会 経 済 情 資 料 2-1 公 的 年 金 制 度 の 持 続 可 能 性 の 向 上 を 図 るための 国 民 年 金 法 等 の 一 部 を 改 正 する 法 律 案 の 概 要 厚 生 労 働 省 年 金 局 平 成 28 年 4 月 21 日 公 的 年 金 制 度 について 制 度 の 持 続 可 能 性 を 高 め 将 来 の 世 代 の 給 付 水 準 の 確 保 等 を 図 るため 持 続 可

More information

代 議 員 会 決 議 内 容 についてお 知 らせします さる3 月 4 日 当 基 金 の 代 議 員 会 を 開 催 し 次 の 議 案 が 審 議 され 可 決 承 認 されました 第 1 号 議 案 : 財 政 再 計 算 について ( 概 要 ) 確 定 給 付 企 業 年 金 法 第

代 議 員 会 決 議 内 容 についてお 知 らせします さる3 月 4 日 当 基 金 の 代 議 員 会 を 開 催 し 次 の 議 案 が 審 議 され 可 決 承 認 されました 第 1 号 議 案 : 財 政 再 計 算 について ( 概 要 ) 確 定 給 付 企 業 年 金 法 第 代 議 員 会 決 議 内 容 についてお 知 らせします さる3 月 4 日 当 基 金 の 代 議 員 会 を 開 催 し 次 の 議 案 が 審 議 され 可 決 承 認 されました 第 1 号 議 案 : 財 政 再 計 算 について ( 概 要 ) 確 定 給 付 企 業 年 金 法 第 58 条 第 1 項 に 定 める 財 政 再 計 算 の 実 施 第 2 号 議 案 : 基 金 規

More information

注 記 事 項 (1) 当 四 半 期 連 結 累 計 期 間 における 重 要 な 子 会 社 の 異 動 : 無 (2) 四 半 期 連 結 財 務 諸 表 の 作 成 に 特 有 の 会 計 処 理 の 適 用 : 有 ( 注 ) 詳 細 は 添 付 資 料 4ページ 2.サマリー 情 報 (

注 記 事 項 (1) 当 四 半 期 連 結 累 計 期 間 における 重 要 な 子 会 社 の 異 動 : 無 (2) 四 半 期 連 結 財 務 諸 表 の 作 成 に 特 有 の 会 計 処 理 の 適 用 : 有 ( 注 ) 詳 細 は 添 付 資 料 4ページ 2.サマリー 情 報 ( 平 成 27 年 12 月 期 第 1 四 半 期 決 算 短 信 日 本 基 準 ( 連 結 ) 平 成 27 年 5 月 13 日 上 場 会 社 名 KLab 株 式 会 社 上 場 取 引 所 東 コ ー ド 番 号 3656 URL http://www.klab.com/jp/ 代 表 者 ( 役 職 名 ) 代 表 取 締 役 社 長 ( 氏 名 ) 真 田 哲 弥 問 合 せ 先 責

More information

経 常 収 支 差 引 額 等 の 状 況 平 成 26 年 度 予 算 早 期 集 計 平 成 25 年 度 予 算 対 前 年 度 比 較 経 常 収 支 差 引 額 3,689 億 円 4,597 億 円 908 億 円 減 少 赤 字 組 合 数 1,114 組 合 1,180 組 合 66

経 常 収 支 差 引 額 等 の 状 況 平 成 26 年 度 予 算 早 期 集 計 平 成 25 年 度 予 算 対 前 年 度 比 較 経 常 収 支 差 引 額 3,689 億 円 4,597 億 円 908 億 円 減 少 赤 字 組 合 数 1,114 組 合 1,180 組 合 66 平 成 26 年 度 健 保 組 合 予 算 早 期 集 計 結 果 の 概 要 平 成 26 年 4 月 18 日 健 康 保 険 組 合 連 合 会 問 い 合 わせ 先 : 健 保 連 企 画 部 調 査 分 析 グループ :03-3403-0926 本 概 要 は 平 成 26 年 度 における 健 保 組 合 の 財 政 状 況 等 を 早 期 に 把 握 するため 26 年 度 予 算

More information

損 益 計 算 書 自. 平 成 26 年 4 月 1 日 至. 平 成 27 年 3 月 31 日 科 目 内 訳 金 額 千 円 千 円 営 業 収 益 6,167,402 委 託 者 報 酬 4,328,295 運 用 受 託 報 酬 1,839,106 営 業 費 用 3,911,389 一

損 益 計 算 書 自. 平 成 26 年 4 月 1 日 至. 平 成 27 年 3 月 31 日 科 目 内 訳 金 額 千 円 千 円 営 業 収 益 6,167,402 委 託 者 報 酬 4,328,295 運 用 受 託 報 酬 1,839,106 営 業 費 用 3,911,389 一 貸 借 対 照 表 平 成 27 年 3 月 31 日 現 在 資 産 の 部 負 債 の 部 科 目 内 訳 金 額 科 目 内 訳 金 額 流 動 資 産 千 円 千 円 流 動 負 債 千 円 千 円 預 金 5,145,515 預 り 金 240, 有 価 証 券 2,000,000 未 払 金 274, 前 払 費 用 61,184 未 払 収 益 分 配 金 789 未 収 入 金 未

More information

<4D6963726F736F667420506F776572506F696E74202D20303282D382E982B382C68AF1958D8BE090A7937882C98AD682B782E9834183938350815B83678C8B89CA81698CF6955C9770816A2E70707478>

<4D6963726F736F667420506F776572506F696E74202D20303282D382E982B382C68AF1958D8BE090A7937882C98AD682B782E9834183938350815B83678C8B89CA81698CF6955C9770816A2E70707478> ふるさと 納 税 に 関 する 調 査 結 果 ( 概 要 ) ( 対 象 団 体 : 都 道 府 県 47 団 体 市 区 町 村 1,742 団 体 ) 総 務 省 自 治 税 務 局 1 寄 附 金 の 納 付 手 続 きについて ふるさと 納 税 に 関 する 調 査 結 果 ( 概 要 ) 寄 附 手 続 きに 係 る 改 善 すべき 点 としては クレジットカード 決 済 コンビニ 納

More information

答申第585号

答申第585号 別 紙 諮 問 第 722 号 答 申 1 審 査 会 の 結 論 平 成 23 年 月 日 区 営 業 所 で 起 きた 物 損 事 故 に 関 する 全 ての 内 容 の 文 書 の 開 示 請 求 に 対 し 終 業 点 呼 記 録 簿 ほか7 件 を 対 象 公 文 書 として 特 定 し 一 部 開 示 と した 決 定 は 妥 当 である 2 審 査 請 求 の 内 容 (1) 審 査

More information

弁護士報酬規定(抜粋)

弁護士報酬規定(抜粋) はなみずき 法 律 事 務 所 弁 護 士 報 酬 規 定 ( 抜 粋 ) 2008 年 10 月 改 訂 2014 年 4 月 * 以 下 の 弁 護 士 報 酬 は いずれも 税 込 です ただし D E L の2の 表 に 基 づき 算 出 さ れた 金 額 については 消 費 税 を 上 乗 せした 額 を 弁 護 士 報 酬 とします 目 次 A 法 律 相 談 料 B 顧 問 料 C 手

More information

平成24年度税制改正要望 公募結果 153. 不動産取得税

平成24年度税制改正要望 公募結果 153. 不動産取得税 項 目 : 153. 不 動 産 取 得 税 岩 手 県 ( 商 工 労 働 観 光 部 企 業 立 地 推 進 課 ) 被 災 地 域 の 企 業 が 投 資 する 再 生 可 能 エネルギー 設 備 に 対 する 課 税 免 除 大 震 災 津 波 において 被 災 地 域 では 電 気 水 道 ガスなどのインフラ 機 能 が 喪 失 し 工 場 では 稼 働 再 開 まで 長 期 間 を 要

More information

(Microsoft Word - \212\356\226{\225\373\220j2014220_\217C\220\263\201j.doc)

(Microsoft Word - \212\356\226{\225\373\220j2014220_\217C\220\263\201j.doc) 平 成 26 年 2 月 20 日 日 本 医 師 従 業 員 国 民 年 金 基 金 年 金 資 産 運 用 の 基 本 方 針 日 本 医 師 従 業 員 国 民 年 金 基 金 ( 以 下 当 基 金 という)は 年 金 給 付 等 積 立 金 ( 以 下 年 金 資 産 という)の 運 用 にあたり 以 下 の 基 本 方 針 を 定 める 当 基 金 から 年 金 資 産 の 管 理 又

More information

の 基 礎 の 欄 にも 記 載 します ア 法 人 税 の 中 間 申 告 書 に 係 る 申 告 の 場 合 は 中 間 イ 法 人 税 の 確 定 申 告 書 ( 退 職 年 金 等 積 立 金 に 係 るものを 除 きます ) 又 は 連 結 確 定 申 告 書 に 係 る 申 告 の 場

の 基 礎 の 欄 にも 記 載 します ア 法 人 税 の 中 間 申 告 書 に 係 る 申 告 の 場 合 は 中 間 イ 法 人 税 の 確 定 申 告 書 ( 退 職 年 金 等 積 立 金 に 係 るものを 除 きます ) 又 は 連 結 確 定 申 告 書 に 係 る 申 告 の 場 第 20 号 様 式 記 載 の 手 引 1 この 申 告 書 の 用 途 等 (1) この 申 告 書 は 仮 決 算 に 基 づく 中 間 申 告 ( 連 結 法 人 以 外 の 法 人 が 行 う 中 間 申 告 に 限 ります ) 確 定 した 決 算 に 基 づく 確 定 申 告 及 びこれらに 係 る 修 正 申 告 をする 場 合 に 使 用 します (2) この 申 告 書 は 千

More information

第316回取締役会議案

第316回取締役会議案 貸 借 対 照 表 ( 平 成 27 年 3 月 31 日 現 在 ) 科 目 金 額 科 目 金 額 ( 資 産 の 部 ) ( 負 債 の 部 ) 流 動 資 産 30,235,443 流 動 負 債 25,122,730 現 金 及 び 預 金 501,956 支 払 手 形 2,652,233 受 取 手 形 839,303 買 掛 金 20,067,598 売 掛 金 20,810,262

More information

国 立 研 究 開 発 法 人 日 本 原 子 力 研 究 開 発 機 構 防 災 業 務 計 画 平 成 17 年 10 月 1 日 制 定 平 成 25 年 3 月 8 日 修 正 平 成 26 年 6 月 19 日 修 正 平 成 27 年 12 月 1 日 修 正 国 立 研 究 開 発 法 人 日 本 原 子 力 研 究 開 発 機 構 国 立 研 究 開 発 法 人 日 本 原 子 力

More information

Microsoft PowerPoint - 総合型DB資料_県版基金説明用.pptx

Microsoft PowerPoint - 総合型DB資料_県版基金説明用.pptx 全 国 建 設 企 業 年 金 基 金 ( 仮 称 )について 平 成 29 年 春 の 設 立 に 向 けて 準 備 を 進 めています 平 成 26 年 10 月 全 国 建 設 厚 生 年 金 基 金 ( 注 ) 現 時 点 における 制 度 の 大 枠 であり 詳 細 については 今 後 検 討 を 行 います 1. 全 国 建 設 企 業 年 金 基 金 ( 仮 称 )について 全 国 建

More information

養 老 保 険 の 減 額 払 済 保 険 への 変 更 1. 設 例 会 社 が 役 員 を 被 保 険 者 とし 死 亡 保 険 金 及 び 満 期 保 険 金 のいずれも 会 社 を 受 取 人 とする 養 老 保 険 に 加 入 してい る 場 合 を 解 説 します 資 金 繰 りの 都

養 老 保 険 の 減 額 払 済 保 険 への 変 更 1. 設 例 会 社 が 役 員 を 被 保 険 者 とし 死 亡 保 険 金 及 び 満 期 保 険 金 のいずれも 会 社 を 受 取 人 とする 養 老 保 険 に 加 入 してい る 場 合 を 解 説 します 資 金 繰 りの 都 平 成 22 年 4 月 1 日 現 在 の 法 令 等 に 準 拠 Up Newsletter 養 老 保 険 の 減 額 逓 増 定 期 保 険 の 取 扱 い 変 更 介 護 費 用 保 険 の 導 入 企 業 の 保 険 のポイント http://www.up-firm.com 1 養 老 保 険 の 減 額 払 済 保 険 への 変 更 1. 設 例 会 社 が 役 員 を 被 保 険 者

More information

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73>

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73> 国 立 大 学 法 人 茨 城 大 学 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 24 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 役 員 に 支 給 される 給 与 のうち 期 末 特 別 手 当 については 国 立 大 学 評 価 委 員 会

More information

第4回税制調査会 総4-1

第4回税制調査会 総4-1 平 成 25 年 12 月 国 際 課 税 原 則 の 総 合 主 義 から 帰 属 主 義 への 見 直 し 税 制 調 査 会 国 際 課 税 ディスカッショングループ 国 際 課 税 原 則 の 総 合 主 義 から 帰 属 主 義 への 見 直 し 1. 見 直 しの 背 景 意 義 外 国 法 人 及 び 非 居 住 者 ( 以 下 外 国 法 人 等 という )に 対 する 課 税 原

More information

IAF ID x:2010 International Accreditation Forum, Inc. Page 2 of 8 国 際 認 定 機 関 フォーラム(IAF)は 適 合 性 評 価 サービスを 提 供 する 機 関 の 認 定 のためのプログラ ムを 運 営 している この 認 定

IAF ID x:2010 International Accreditation Forum, Inc. Page 2 of 8 国 際 認 定 機 関 フォーラム(IAF)は 適 合 性 評 価 サービスを 提 供 する 機 関 の 認 定 のためのプログラ ムを 運 営 している この 認 定 IAF ID x:2010 International Accreditation Forum Inc. 国 際 認 定 機 関 フォーラム(IAF) IAF Information Document (draft) ISO/IEC 17021:2006 から ISO/IEC 17021:2010 への マネジメントシステム 認 定 移 行 のための IAF 参 考 文 書 (IAF ID x :

More information

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定 射 水 市 建 設 工 事 施 行 に 関 する 工 事 成 績 評 定 要 領 平 成 8 年 3 月 7 告 示 第 44 号 ( 目 的 ) 第 条 この 要 領 は 射 水 市 が 所 掌 する 工 事 の 成 績 評 定 ( 以 下 評 定 という )に 必 要 な 事 項 を 定 め 公 正 かつ 的 確 な 評 定 を 行 うことにより もって 請 負 業 者 の 選 定 及 び 指

More information

小 売 電 気 の 登 録 数 の 推 移 昨 年 8 月 の 前 登 録 申 請 の 受 付 開 始 以 降 小 売 電 気 の 登 録 申 請 は 着 実 に 増 加 しており これまでに310 件 を 登 録 (6 月 30 日 時 点 ) 本 年 4 月 の 全 面 自 由 化 以 降 申

小 売 電 気 の 登 録 数 の 推 移 昨 年 8 月 の 前 登 録 申 請 の 受 付 開 始 以 降 小 売 電 気 の 登 録 申 請 は 着 実 に 増 加 しており これまでに310 件 を 登 録 (6 月 30 日 時 点 ) 本 年 4 月 の 全 面 自 由 化 以 降 申 資 料 3-1 小 売 全 面 自 由 化 に 関 する 進 捗 状 況 平 成 28 年 7 月 1 日 資 源 エネルギー 庁 小 売 電 気 の 登 録 数 の 推 移 昨 年 8 月 の 前 登 録 申 請 の 受 付 開 始 以 降 小 売 電 気 の 登 録 申 請 は 着 実 に 増 加 しており これまでに310 件 を 登 録 (6 月 30 日 時 点 ) 本 年 4 月 の 全

More information

( 医 療 機 器 の 性 能 及 び 機 能 ) 第 3 条 医 療 機 器 は 製 造 販 売 業 者 等 の 意 図 する 性 能 を 発 揮 できなければならず 医 療 機 器 としての 機 能 を 発 揮 できるよう 設 計 製 造 及 び 包 装 されなければならない 要 求 項 目 を

( 医 療 機 器 の 性 能 及 び 機 能 ) 第 3 条 医 療 機 器 は 製 造 販 売 業 者 等 の 意 図 する 性 能 を 発 揮 できなければならず 医 療 機 器 としての 機 能 を 発 揮 できるよう 設 計 製 造 及 び 包 装 されなければならない 要 求 項 目 を 様 式 3の 記 載 方 法 基 本 要 件 基 準 の 基 本 的 考 え 方 ( 別 紙 3)も 併 せて 参 照 すること チェックリストの 作 成 にあたっては 添 付 のテンプレートファイル(ワード 版 )を 用 いること 注 意 改 正 基 準 であっても 規 定 書 式 に 整 合 させるために 添 付 のテンプレートファイル(ワード 版 )を 用 いて 作 成 すること( 不 欄 適

More information

財団法人○○会における最初の評議員の選任方法(案)

財団法人○○会における最初の評議員の選任方法(案) 一 般 財 団 法 人 生 産 科 学 研 究 奨 励 会 定 款 第 1 章 総 則 ( 名 称 ) 第 1 条 この 法 人 は 一 般 財 団 法 人 生 産 科 学 研 究 奨 励 会 という ( 事 務 所 ) 第 2 条 この 法 人 は 事 務 所 を 福 岡 市 東 区 松 香 台 1 丁 目 10 番 1 号 におく 第 2 章 目 的 及 び 事 業 ( 目 的 ) 第 3 条

More information

表紙

表紙 現 況 の 指 定 容 積 率 をすべて 使 い 切 った 場 合 に 現 況 容 積 率 の 2.25 倍 以 上 になるかどうかを 確 認 する 現 況 の 街 区 面 積 は 3,569,759m2 延 べ 床 面 積 は 3,569,759m2であるから 目 標 とする 延 べ 床 面 積 はその 2.25 倍 の 8,031,958m2である 一 方 指 定 容 積 率 をすべて 使 い

More information

募集新株予約権(有償ストック・オプション)の発行に関するお知らせ

募集新株予約権(有償ストック・オプション)の発行に関するお知らせ 各 位 平 成 28 年 6 月 24 日 会 社 名 株 式 会 社 モバイルファクトリー 代 表 者 名 代 表 取 締 役 宮 嶌 裕 二 (コード 番 号 3912 東 証 マザーズ) 問 合 せ 先 執 行 役 員 計 数 管 理 部 長 谷 本 洋 (TEL. 03-3447-1181) 募 集 新 株 予 約 権 ( 有 償 ストック オプション)の 発 行 に 関 するお 知 らせ

More information

文化政策情報システムの運用等

文化政策情報システムの運用等 名 開 始 終 了 ( 予 定 ) 年 度 番 号 0406 平 成 25 年 行 政 レビューシート ( 文 部 科 学 省 ) 文 化 政 策 情 報 システム 運 用 等 担 当 部 局 庁 文 化 庁 作 成 責 任 者 平 成 8 年 度 なし 担 当 課 室 長 官 官 房 政 策 課 政 策 課 長 清 水 明 会 計 区 分 一 般 会 計 政 策 施 策 名 根 拠 法 令 ( 具

More information

連結計算書

連結計算書 第 54 期 決 算 公 告 貸 借 対 照 表 ( 平 成 27 年 3 月 31 日 現 在 ) ( 単 位 : 百 万 円 ) 科 目 金 額 科 目 金 額 ( 資 産 の 部 ) ( 負 債 の 部 ) 流 動 資 産 728,213 流 動 負 債 527,973 現 金 及 び 預 金 22,917 短 期 借 入 金 398,450 営 業 貸 付 金 714,350 1 年 内 返

More information

(3) 善 通 寺 市 の 状 況 善 通 寺 市 においては 固 定 資 産 税 の 納 期 前 前 納 に 対 する 報 奨 金 について 善 通 寺 市 税 条 例 の 規 定 ( 交 付 率 :0.1% 限 度 額 :2 万 円 )に 基 づき 交 付 を 行 っています 参 考 善 通 寺

(3) 善 通 寺 市 の 状 況 善 通 寺 市 においては 固 定 資 産 税 の 納 期 前 前 納 に 対 する 報 奨 金 について 善 通 寺 市 税 条 例 の 規 定 ( 交 付 率 :0.1% 限 度 額 :2 万 円 )に 基 づき 交 付 を 行 っています 参 考 善 通 寺 平 成 26 年 12 月 パブリックコメント 資 料 固 定 資 産 税 前 納 報 奨 金 の 廃 止 について 1. 前 納 報 奨 金 制 度 とは (1) 制 度 の 目 的 前 納 報 奨 金 制 度 は シャウプ 勧 告 ( GHQが 派 遣 した 米 国 の 税 制 使 節 団 から 出 された 日 本 の 新 税 制 に 対 する 勧 告 のこと)に 基 づき 昭 和 25 年 の

More information

Taro-08国立大学法人宮崎大学授業

Taro-08国立大学法人宮崎大学授業 国 立 大 学 法 人 宮 崎 大 学 授 業 料 その 他 の 費 用 に 関 する 規 程 平 成 19 年 3 月 30 日 制 定 改 正 平 成 19 年 9 月 10 日 平 成 20 年 3 月 25 日 平 成 21 年 1 月 29 日 平 成 21 年 9 月 3 日 平 成 21 年 11 月 27 日 平 成 23 年 3 月 30 日 ( 趣 旨 ) 第 1 条 この 規

More information

4. その 他 (1) 期 中 における 重 要 な 子 会 社 の 異 動 ( 連 結 範 囲 の 変 更 を 伴 う 特 定 子 会 社 の 異 動 ) 無 新 規 社 ( 社 名 ) 除 外 社 ( 社 名 ) (2) 簡 便 な 会 計 処 理 及 び 四 半 期 連 結 財 務 諸 表 の

4. その 他 (1) 期 中 における 重 要 な 子 会 社 の 異 動 ( 連 結 範 囲 の 変 更 を 伴 う 特 定 子 会 社 の 異 動 ) 無 新 規 社 ( 社 名 ) 除 外 社 ( 社 名 ) (2) 簡 便 な 会 計 処 理 及 び 四 半 期 連 結 財 務 諸 表 の 平 成 22 年 3 月 期 第 1 四 半 期 決 算 短 信 平 成 21 年 8 月 11 日 上 場 会 社 名 サンエツ 金 属 株 式 会 社 上 場 取 引 所 名 コード 番 号 5757 URL http://www.sanetu.co.jp 代 表 者 ( 役 職 名 ) 代 表 取 締 役 社 長 ( 氏 名 ) 釣 谷 宏 行 問 合 せ 先 責 任 者 ( 役 職 名 )

More information

<4D6963726F736F667420506F776572506F696E74202D203034308350815B83588358835E8366834228926E88E68C9A90DD8BC65F928695944832362E332983418343835683938DC58F4994C52E70707478>

<4D6963726F736F667420506F776572506F696E74202D203034308350815B83588358835E8366834228926E88E68C9A90DD8BC65F928695944832362E332983418343835683938DC58F4994C52E70707478> CI-NET 導 入 事 例 ( 地 域 総 合 建 設 企 業 中 部 ) 一 般 財 団 法 人 建 設 業 振 興 基 金 情 報 化 評 議 会 平 成 26 年 3 月 Copyright 1997.6-,CI-NET All rights reserved. 0 本 事 例 の 特 徴 コンプライアンスの 向 上 を 目 的 とした 電 子 化 への 取 り 組 み CI-NETの 導

More information

< F2D E633368D86816A89EF8C768E9696B18EE688B5>

< F2D E633368D86816A89EF8C768E9696B18EE688B5> 独 立 行 政 法 人 国 立 高 等 専 門 学 校 機 構 会 計 事 務 取 扱 規 則 独 立 行 政 法 人 国 立 高 等 専 門 学 校 機 構 規 則 第 36 号 制 定 平 成 16 年 4 月 1 日 一 部 改 正 平 成 17 年 2 月 23 日 一 部 改 正 平 成 18 年 2 月 28 日 一 部 改 正 平 成 19 年 3 月 30 日 一 部 改 正 平 成

More information

税制面での支援

税制面での支援 税 制 面 での 支 援 平 成 28 年 熊 本 地 震 によって 直 接 間 接 的 に 被 害 を 受 けた 中 小 企 業 個 人 に 対 しては さ まざまな 税 制 面 での 支 援 が 行 われています ( 確 定 申 告 などの 期 間 延 長 については その 他 手 続 きなどの 支 援 ( 特 例 措 置 ) をご 参 照 ください) 1. 災 害 に 関 するおもな 税 務

More information

(2)大学・学部・研究科等の理念・目的が、大学構成員(教職員および学生)に周知され、社会に公表されているか

(2)大学・学部・研究科等の理念・目的が、大学構成員(教職員および学生)に周知され、社会に公表されているか 平 成 23 年 度 自 己 報 告 書 1 理 念 目 的 (1) 大 学 学 部 研 究 科 等 の 理 念 目 的 は 適 切 に 設 定 されているか 平 成 19 年 6 月 に の 目 標 として 大 学 の 発 展 に 貢 献 する 力 のある 組 織 とい う 共 通 の 目 標 を 掲 げ この 目 標 を 常 に 念 頭 に 置 きながら 日 々の 業 務 に 当 たっている さらに

More information

一般競争入札について

一般競争入札について ( 一 般 競 争 入 札 ) 総 合 評 価 落 札 方 式 ガイドライン 平 成 21 年 4 月 ( 独 ) 工 業 所 有 権 情 報 研 修 館 1.はじめに 現 在 公 共 調 達 の 透 明 性 公 正 性 をより 一 層 めることが 喫 緊 の 課 題 とな っており 独 立 行 政 法 人 も 含 めた 政 府 全 体 で 随 意 契 約 の 見 直 しに 取 り 組 んで おります

More information

Taro-条文.jtd

Taro-条文.jtd - 1 - 部 正 昭 和 部 正 目 ん 養 涵 養 ロ ん ロ 護 在 面 積 並 削 共 化 委 託 共 化 病 害 虫 駆 除 予 防 他 護 削 3 域 各 掲 げ ほ 全 努 - 2 - む ね 道 開 設 良 安 設 削 他 護 削 委 託 促 進 削 病 害 虫 駆 除 予 防 火 災 予 防 他 護 削 - 3 - 応 じ 6 案 作 学 識 験 意 聴 3 各 掲 げ ほ 掲 げ

More information

法 人 等 に 対 する 課 税 際 課 税 原 則 の 帰 属 主 義 への 見 直 しのポイント 総 合 主 義 から 帰 属 主 義 への 移 行 法 人 及 び 非 居 住 者 ( 法 人 等 )に 対 する 課 税 原 則 について 従 来 のいわゆる 総 合 主 義 を 改 め OECD

法 人 等 に 対 する 課 税 際 課 税 原 則 の 帰 属 主 義 への 見 直 しのポイント 総 合 主 義 から 帰 属 主 義 への 移 行 法 人 及 び 非 居 住 者 ( 法 人 等 )に 対 する 課 税 原 則 について 従 来 のいわゆる 総 合 主 義 を 改 め OECD 平 成 26 年 度 改 正 関 係 参 考 資 料 ( 際 課 税 関 係 ) 法 人 等 に 対 する 課 税 際 課 税 原 則 の 帰 属 主 義 への 見 直 しのポイント 総 合 主 義 から 帰 属 主 義 への 移 行 法 人 及 び 非 居 住 者 ( 法 人 等 )に 対 する 課 税 原 則 について 従 来 のいわゆる 総 合 主 義 を 改 め OECDモデル 租 税 条

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 株 式 会 社 化 に 伴 う から 特 定 の 員 への 株 式 譲 渡 に 係 る 課 税 関 係 と 手 続 きについて 平 成 20 年 2 月 商 工 中 金 当 資 料 は 貴 において 本 件 取 引 に 関 する 検 討 をされるに 際 して ご 参 考 のための 情 報 提 供 のみを 目 的 として 国 税 庁 の 確 認 を 受 けた 内 容 に 基 づき 商 工 中 金 が

More information

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の 地 域 づくり 一 括 交 付 金 の 交 付 に 関 する 要 綱 ( 趣 旨 ) 第 1 条 この 要 綱 は 川 西 市 地 域 分 権 の 推 進 に 関 する 条 例 ( 平 成 26 年 川 西 市 条 例 第 10 号 以 下 条 例 という ) 第 14 条 の 規 定 に 基 づく 地 域 づくり 一 括 交 付 金 ( 以 下 交 付 金 という )の 交 付 に 関 し 必 要

More information

Microsoft Word - 全国エリアマネジメントネットワーク規約.docx

Microsoft Word - 全国エリアマネジメントネットワーク規約.docx 全 国 エリアマネジメントネットワーク 規 約 第 1 章 総 則 ( 名 称 ) 第 1 条 この 会 は 全 国 エリアマネジメントネットワーク( 以 下 本 会 という )と 称 する ( 目 的 ) 第 2 条 本 会 は 全 国 のエリアマネジメント 組 織 による 連 携 協 議 の 場 を 提 供 し エリアマネジメン トに 係 る 政 策 提 案 情 報 共 有 及 び 普 及 啓

More information

説 明 内 容 料 金 の 算 定 期 間 と 請 求 の 単 位 について 分 散 検 針 制 日 程 等 別 料 金 料 金 の 算 定 期 間 と 支 払 義 務 発 生 日 日 程 等 別 料 金 の 請 求 スケジュール 料 金 のお 支 払 い 方 法 その 他 各 種 料 金 支 払

説 明 内 容 料 金 の 算 定 期 間 と 請 求 の 単 位 について 分 散 検 針 制 日 程 等 別 料 金 料 金 の 算 定 期 間 と 支 払 義 務 発 生 日 日 程 等 別 料 金 の 請 求 スケジュール 料 金 のお 支 払 い 方 法 その 他 各 種 料 金 支 払 資 料 3 料 金 の 算 定 期 間 と 請 求 の 単 位 について 平 成 28 年 1 月 27 日 1 月 28 日 東 京 電 力 株 式 会 社 ネットワークサービスセンター 説 明 内 容 料 金 の 算 定 期 間 と 請 求 の 単 位 について 分 散 検 針 制 日 程 等 別 料 金 料 金 の 算 定 期 間 と 支 払 義 務 発 生 日 日 程 等 別 料 金 の 請

More information

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 例 規 整 備 * 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 例 規 整 備 公 布 年 月 日 番 号 平 成 24 年

More information

主要生活道路について

主要生活道路について 議 題 2 資 料 1. 本 日 の 検 討 テーマ 主 要 生 活 道 路 について 基 本 構 想 ( 平 成 23 年 3 月 の 中 間 報 告 資 料 )では 主 要 生 活 道 路 A~C 主 要 生 活 道 路 D~G の2 種 類 の 主 要 生 活 道 路 整 備 を 提 言 しています 各 路 線 の 具 体 的 な 整 備 手 法 については 地 区 計 画 制 度 の 適 用

More information

工 業 用 水 道 更 新 耐 震 化 事 業 の 費 用 対 効 果 の 算 定 工 業 用 水 道 更 新 耐 震 化 事 業 における 費 用 対 効 果 を 工 業 用 水 道 事 業 に 係 る 政 策 評 価 実 施 要 領 ( 経 済 産 業 省 ) 及 び 費 用 対 効 果 分 析

工 業 用 水 道 更 新 耐 震 化 事 業 の 費 用 対 効 果 の 算 定 工 業 用 水 道 更 新 耐 震 化 事 業 における 費 用 対 効 果 を 工 業 用 水 道 事 業 に 係 る 政 策 評 価 実 施 要 領 ( 経 済 産 業 省 ) 及 び 費 用 対 効 果 分 析 資 料 6-2 大 阪 広 域 水 道 企 業 団 工 業 用 水 道 更 新 耐 震 化 事 業 費 用 対 効 果 の 算 定 ( 事 前 評 価 ) 平 成 25 年 8 月 29 日 工 業 用 水 道 更 新 耐 震 化 事 業 の 費 用 対 効 果 の 算 定 工 業 用 水 道 更 新 耐 震 化 事 業 における 費 用 対 効 果 を 工 業 用 水 道 事 業 に 係 る 政

More information

1 変更の許可等(都市計画法第35条の2)

1 変更の許可等(都市計画法第35条の2) 第 11 章 建 築 物 の 建 ぺい 率 等 の 指 定 ( 都 市 計 画 法 第 41 条 ) 建 築 物 の 建 ぺい 率 等 の 指 定 ( 都 市 計 画 法 第 41 条 ) 法 律 ( 建 築 物 の 建 ぺい 率 等 の 指 定 ) 第 四 十 一 条 都 道 府 県 知 事 は 用 途 地 域 の 定 められていない 土 地 の 区 域 における 開 発 行 為 につい て 開

More information

第2回 制度設計専門会合 事務局提出資料

第2回 制度設計専門会合 事務局提出資料 第 3 回 制 度 設 計 専 門 会 合 事 務 局 提 出 資 料 ~ 電 力 の 小 売 営 業 に 関 する 指 針 ( 案 )の 概 要 について~ 平 成 27 年 12 月 4 日 ( 金 ) 電 力 の 小 売 営 業 に 関 する 指 針 ( 案 )で 整 備 する の 目 次 1. 需 要 家 への 適 切 な 情 報 提 供 (1) 一 般 的 な 情 報 提 供 (2) 契

More information

スライド 1

スライド 1 本 資 料 は 学 会 代 議 員 との 意 見 交 換 を 踏 まえて 多 数 意 見 をまとめたものです しかし 学 術 団 体 として 多 様 な 意 見 があります これを 契 機 に 議 論 が 活 性 化 することを 期 待 します マンション 建 替 えに 関 する 意 見 ( 第 2 版 ) 当 日 の 口 頭 説 明 を 一 部 加 筆 千 葉 大 学 小 林 秀 樹 < 一 般

More information

った 場 合 など 監 事 の 任 務 懈 怠 の 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 減 算 する (8) 役 員 の 法 人 に 対 する 特 段 の 貢 献 が 認 められる 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 加 算 することができる

った 場 合 など 監 事 の 任 務 懈 怠 の 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 減 算 する (8) 役 員 の 法 人 に 対 する 特 段 の 貢 献 が 認 められる 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 加 算 することができる 独 立 行 政 法 人 の 役 員 の 退 職 金 に 係 る 業 績 勘 案 率 の 算 定 ルールについて 平 成 27 年 5 月 25 日 総 務 大 臣 決 定 独 立 行 政 法 人 特 殊 法 人 及 び 認 可 法 人 の 役 員 の 退 職 金 について ( 平 成 27 年 3 月 24 日 閣 議 決 定 以 下 閣 議 決 定 という )に 基 づき 独 立 行 政 法 人

More information

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 (

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 ( 相 談 ~ 改 正 に 伴 い 改 めて 整 理 しておきたい~ 法 人 税 における 繰 越 欠 損 金 制 度 米 澤 潤 平 部 東 京 室 平 成 27 年 度 および28 年 度 の 税 制 改 正 による 法 人 税 率 引 き 下 げに 伴 う 課 税 ベース 拡 大 の 一 環 として 繰 越 欠 損 金 制 度 についても 大 改 正 が 行 われました 今 回 は 繰 越 欠 損

More information

<4D6963726F736F667420576F7264202D2095CA8E863136816A90DA91B18C9F93A289F1939A8F9181698D8288B3816A5F4150382E646F63>

<4D6963726F736F667420576F7264202D2095CA8E863136816A90DA91B18C9F93A289F1939A8F9181698D8288B3816A5F4150382E646F63> 接 続 検 討 回 答 書 ( 高 圧 版 ) 別 添 様 式 AP8-20160401 回 答 日 年 月 日 1. 申 込 者 等 の 概 要 申 込 者 検 討 者 2. 接 続 検 討 の 申 込 内 容 発 電 者 の 名 称 発 電 場 所 ( 住 所 ) 最 大 受 電 電 力 アクセス の 運 用 開 始 希 望 日 3. 接 続 検 討 結 果 (1) 希 望 受 電 電 力 に

More information

資料3 家電エコポイント制度の政策効果等について

資料3      家電エコポイント制度の政策効果等について 家 電 エコポイント 制 度 の 政 策 効 果 等 について 資 料 3 政 策 効 果 環 境 省 経 済 産 業 省 総 務 省 地 上 デジタル 放 送 対 応 テレビを 中 心 に 対 象 家 電 3 品 目 の 販 売 を 押 し 上 げるとともに 省 エネ 性 能 の 高 い 製 品 への 買 い 換 えに 大 きく 貢 献 地 球 温 暖 化 対 策 の 推 進 の 観 点 統 一

More information

(6) 事 務 局 職 場 積 立 NISAの 運 営 に 係 る 以 下 の 事 務 等 を 担 当 する 事 業 主 等 の 組 織 ( 当 該 事 務 を 代 行 する 組 織 を 含 む )をいう イ 利 用 者 からの 諸 届 出 受 付 事 務 ロ 利 用 者 への 諸 連 絡 事 務

(6) 事 務 局 職 場 積 立 NISAの 運 営 に 係 る 以 下 の 事 務 等 を 担 当 する 事 業 主 等 の 組 織 ( 当 該 事 務 を 代 行 する 組 織 を 含 む )をいう イ 利 用 者 からの 諸 届 出 受 付 事 務 ロ 利 用 者 への 諸 連 絡 事 務 職 場 積 立 NISAに 関 するガイドライン 第 1 章 総 則 1. 制 定 の 趣 旨 NISA 推 進 連 絡 協 議 会 は NISA 推 進 連 絡 協 議 会 に 参 加 する 業 界 団 体 等 に 属 する 金 融 商 品 取 引 業 者 及 び 金 融 機 関 等 ( 以 下 NISA 取 扱 業 者 という )が 取 り 扱 う 職 場 積 立 NISAについて 適 正 かつ

More information

<4D6963726F736F667420506F776572506F696E74202D208CE38AFA8D8297EE8ED288E397C390A7937882CC8A549776816998568AE98EBA8DEC90AC816A2E707074>

<4D6963726F736F667420506F776572506F696E74202D208CE38AFA8D8297EE8ED288E397C390A7937882CC8A549776816998568AE98EBA8DEC90AC816A2E707074> 第 1 回 社 会 保 障 審 議 会 後 期 高 齢 者 医 療 の 在 り 方 に 関 する 特 別 部 会 平 成 1 8 年 1 0 月 5 日 資 料 2-1 後 期 高 齢 者 医 療 制 度 の 概 要 現 行 の 医 療 保 険 制 度 の 基 本 構 造 老 人 保 健 制 度 75 歳 65 歳 国 保 老 人 保 健 制 度 退 職 者 医 療 制 度 75 歳 以 上 の 人

More information

学校教育法等の一部を改正する法律の施行に伴う文部科学省関係省令の整備に関する省令等について(通知)

学校教育法等の一部を改正する法律の施行に伴う文部科学省関係省令の整備に関する省令等について(通知) 27 文 科 初 第 1593 号 平 成 28 年 3 月 22 日 各 都 道 府 県 知 事 各 都 道 府 県 教 育 委 員 会 各 指 定 都 市 教 育 委 員 会 殿 附 属 学 校 を 置 く 各 国 立 大 学 法 人 学 長 構 造 改 革 特 別 区 域 法 第 12 条 第 1 項 の 認 定 を 受 けた 地 方 公 共 団 体 の 長 文 部 科 学 省 初 等 中 等

More information

Ⅶ 東 海 地 震 に 関 して 注 意 情 報 発 表 時 及 び 警 戒 宣 言 発 令 時 の 対 応 大 規 模 地 震 対 策 特 別 措 置 法 第 6 条 の 規 定 に 基 づき 本 県 の 東 海 地 震 に 係 る 地 震 防 災 対 策 強 化 地 域 において 東 海 地 震

Ⅶ 東 海 地 震 に 関 して 注 意 情 報 発 表 時 及 び 警 戒 宣 言 発 令 時 の 対 応 大 規 模 地 震 対 策 特 別 措 置 法 第 6 条 の 規 定 に 基 づき 本 県 の 東 海 地 震 に 係 る 地 震 防 災 対 策 強 化 地 域 において 東 海 地 震 Ⅶ 東 海 地 震 に 関 して 注 意 情 報 発 表 時 及 び 警 戒 宣 言 発 令 時 の 対 応 東 海 地 震 注 意 情 報 発 表 時 (1) 医 務 課 衛 生 薬 務 課 Ⅶ-1 Ⅶ-1 Ⅶ-2 2 病 院 診 療 所 の 対 応 (1) 院 内 の 安 全 確 保 等 (2) 医 療 救 護 活 動 の 準 備 Ⅶ-3 Ⅶ-4 Ⅶ-4 3 基 幹 災 害 拠 点 病 院 基

More information

<4D F736F F D F5A91EE8BC F368C8E3393FA8DC48D F C8E323893FA916493C B95AA8D CE3816A>

<4D F736F F D F5A91EE8BC F368C8E3393FA8DC48D F C8E323893FA916493C B95AA8D CE3816A> 平 成 25 年 度 国 土 交 通 省 税 制 改 正 事 項 ( 住 宅 関 係 抜 粋 ) 平 成 25 年 5 月 国 土 交 通 省 住 宅 局 平 成 25 年 度 住 宅 関 連 税 制 の 改 正 概 要 ( 主 要 事 項 目 次 ) 1. 消 費 税 率 引 上 げを 踏 まえた 住 宅 取 得 対 策 P2 1 住 宅 ローン 減 税 P2 2 投 資 型 減 税 ( 現 金

More information

国 家 公 務 員 の 年 金 払 い 退 職 給 付 の 創 設 について 検 討 を 進 めるものとする 平 成 19 年 法 案 をベースに 一 元 化 の 具 体 的 内 容 について 検 討 する 関 係 省 庁 間 で 調 整 の 上 平 成 24 年 通 常 国 会 への 法 案 提

国 家 公 務 員 の 年 金 払 い 退 職 給 付 の 創 設 について 検 討 を 進 めるものとする 平 成 19 年 法 案 をベースに 一 元 化 の 具 体 的 内 容 について 検 討 する 関 係 省 庁 間 で 調 整 の 上 平 成 24 年 通 常 国 会 への 法 案 提 国 家 公 務 員 の 年 金 払 い 退 職 給 付 の 創 設 について 財 務 省 主 計 局 給 与 共 済 課 長 調 査 主 任 土 谷 晃 浩 加 塩 雄 斗 1.はじめに ( 以 下 19 年 一 元 化 法 案 という )において 厚 退 職 給 付 ( 退 職 金 + 年 金 ( 事 業 主 分 ))の 官 民 均 衡 を 図 る 観 点 から 国 家 公 務 員 の 退 職 手

More information

H28記入説明書(納付金・調整金)8

H28記入説明書(納付金・調整金)8 1 常 用 雇 用 労 働 者 の 総 数 の 把 握 ( STEP1 ) (1) 常 用 雇 用 労 働 者 とは 障 害 者 雇 用 納 付 金 制 度 における 常 用 雇 用 労 働 者 とは あなたの 企 業 で の 形 式 の 如 何 を 問 わず 1 雇 用 ( 契 約 ) の 定 めがなく 雇 用 されている 労 働 者 及 び 一 定 の 雇 用 ( 契 約 ) を 定 めて 雇

More information

Taro-H19退職金(修正版).jtd

Taro-H19退職金(修正版).jtd 調 査 結 果 の 概 要 1 退 職 金 制 度 (1) 採 用 状 況 ( 表 1) 集 計 第 1 表 第 2 表 退 職 金 制 度 の 採 用 状 況 をみると 退 職 一 時 金 制 度 のみ 14 社 ( 退 職 金 制 度 採 用 企 業 246 社 の5.7 %) 退 職 年 金 制 度 のみ 27 社 ( 同 11.0%) 退 職 一 時 金 制 度 と 退 職 年 金 制 度

More information

平成21年9月29日

平成21年9月29日 新 潟 県 介 護 職 員 処 遇 改 善 補 助 金 Q&A 平 成 22 年 4 月 新 潟 県 高 齢 福 祉 保 健 課 ( 問 1) 国 の 介 護 職 員 処 遇 改 善 交 付 金 事 業 実 施 要 領 では 交 付 金 とされているが 新 潟 県 介 護 職 員 処 遇 改 善 補 助 金 では 補 助 金 と 名 称 が 異 なる 理 由 はなにか また 承 認 申 請 書 等

More information