Size: px
Start display at page:

Download "22 23 4"

Transcription

1

2

3

4

5 はしがき 文 部 科 学 省 科 学 研 究 費 補 助 金 新 学 術 領 域 研 究 として コンピューティクスによ る 物 質 デザイン: 複 合 相 関 と 非 平 衡 ダイナミクス が 平 成 22 年 7 月 より 5 ヶ 年 の 計 画 でスタートした( コンピューティクス(computics)という 聞 き 慣 れない 言 葉 を 訝 しむ 方 々もいらっしゃるかもしれないが これはわれわれの 造 語 である 理 論 物 理 と 実 験 物 理 は 物 理 学 の 発 展 を 支 える 両 輪 であった 1980 年 代 より 第 三 のアプローチとして 計 算 物 理 (computational physics)が 出 現 した 従 来 からの 理 論 的 あるいは 実 験 的 アプローチではとらえきれない 自 然 現 象 への 有 力 なアプローチとなり いまや 物 理 学 あるいは 化 学 の 範 疇 にとどまらず 自 然 科 学 全 般 さらには 工 学 等 の 様 々な 分 野 において その 有 用 性 が 認 識 されている しかし これまでの 計 算 科 学 (computational science)における 研 究 スタンスは 自 然 をつかさどる 基 本 方 程 式 をコン ピュータの 助 けを 借 りて 解 くというものであり コンピュータそのものはブラックボッ クスであり 情 報 科 学 計 算 機 科 学 (computer science)との 相 互 作 用 は 稀 であった コンピュータ アーキテクチャは 近 年 劇 的 に 変 化 している 半 導 体 デバイスの 微 細 化 限 界 スケーリング 則 の 破 綻 に 伴 い 科 学 の 最 前 線 を 切 り 開 くスーパー コンピュータ は 従 来 のベクトル アーキテクチャから 超 並 列 マルチコア アーキテクチャへと 変 化 している さらに 次 々 世 代 スーパー コンピュータでは 基 本 的 計 算 部 分 をそれ 専 用 の ハード 加 速 器 で 実 行 することが 視 野 に 入 ってきている こうした 状 況 で 計 算 (computation)という 第 三 のアプローチを 活 用 して 先 端 的 科 学 研 究 を 行 うには コンピュータのアーキテクチャを 意 識 し そのアーキテクチャに 最 適 の 理 論 手 法 数 学 的 アルゴリズムを 編 み 出 し 物 質 科 学 の 最 前 線 にチャレンジするこ とが 不 可 欠 である そのためには 計 算 機 科 学 と 計 算 科 学 という 異 なる 二 つの 分 野 の 研 究 者 による 共 通 の 場 での 共 同 研 究 がもっとも 有 効 であろう われわれはこれをコン ピューティクスという 言 葉 で 表 現 している ニュートンのプリンキピア 以 来 数 学 (Mathematics)は 自 然 科 学 を 推 進 する 強 固 な 枠 組 みであったが 今 世 紀 はそれに 加 え てコンピューティクスという 推 進 エンジンが 手 に 入 りつつあると 我 々は 考 えている そのコンピューティクスによるアプローチで われわれが 狙 っている 物 質 科 学 のター ゲットは 複 合 相 関 と 非 平 衡 ダイナミクス である ナノ 世 界 の 到 来 に 伴 い 前 世 紀 に 培 われた 常 識 は 破 綻 しつつある そこでは 元 素 の 特 質 に 加 え ナノスケールの 形 状 が 電 子 状 態 に 大 きな 影 響 を 与 え バルク 物 質 では 封 印 されていた 新 機 能 が 出 現 している 共 有 結 合 性 イオン 性 電 子 相 関 等 の 競 合 する 因 子 が ナノ 形 状 と 絡 み 合 い 新 たな 複 合 相 関 現 象 を 生 み 出 している これら 複 合 因 子 の 相 関 を 量 子 論 の 第 一 原 理 に 基 づき 非 経 験 的 に 解 明 し 新 たな 物 質 設 計 の 強 固 な 方 法 論 的 基 盤 を 形 成 することが 第 一 のターゲ ットである また アト 秒 スケールの 電 子 励 起 がピコ 秒 スケールの 原 子 移 動 を 引 き 起 こ し 新 たな 物 質 相 が 形 成 される 現 象 も 多 々 見 られている そうしたマルチ 時 間 スケール での 複 合 相 関 の 非 平 衡 ダイナミクスを 明 らかにし 物 質 生 成 の 機 構 を 解 明 制 御 するこ とは 時 間 軸 を 制 御 した 新 たな 物 質 機 能 の 発 現 には 不 可 欠 の 要 素 である これが 第 二 の ターゲットである 本 冊 子 は 今 年 度 にスタートした11の 計 画 研 究 の 成 果 報 告 書 である 研 究 項 目 A01 計 算 機 アーキテクチャと 高 速 計 算 アルゴリズム には 稲 葉 高 橋 張 の3つの 研 究 班 A02 密 度 汎 関 数 法 の 新 展 開 には 押 山 常 行 渡 邊 中 西 倭 の5つの 研 究 班 A03 密 度 汎 関 数 法 を 越 えて には 今 田 高 田 佐 藤 の3つの 研 究 班 が 構 成 されてい る 本 新 学 術 領 域 が 開 始 されてまだ 間 もないが すでにいくつかの 成 果 が 得 られている ご 高 覧 ご 批 判 賜 れば 幸 いである 平 成 23 年 4 月 領 域 代 表 押 山 淳

6

7 目 次 はしがき 研 究 項 目 A01 計 算 機 アーキテクチャと 高 速 計 算 アルゴリズム 超 高 速 超 低 消 費 電 力 物 質 科 学 シミュレーション 方 式 の 研 究 開 発... 1 大 規 模 並 列 環 境 における 数 値 計 算 アルゴリズム... 9 計 算 物 質 科 学 の 基 盤 となる 超 大 規 模 系 のための 高 速 解 法 研 究 項 目 A02 密 度 汎 関 数 法 の 新 展 開 ナノ 構 造 形 成. 新 機 能 発 現 における 電 子 論 ダイナミクス 第 一 原 理 分 子 動 力 学 法 による 構 造 サンプリングと 非 平 衡 ダイナミクス 密 度 汎 関 数 法 理 論 に 基 づく 非 平 衡 ナノスケール 電 気 伝 導 ダイナミクス プロトン ミューオンで 探 る 新 物 性 と 量 子 ダイナミクス 多 自 由 度 大 規 模 系 における 反 応 と 構 造 空 間 探 索 研 究 項 目 A02 密 度 汎 関 数 法 の 新 展 開 第 一 原 理 有 効 模 型 と 相 関 科 学 のフロンティア 第 一 原 理 からの 多 体 理 論 スピンエレクトロニクス 材 料 の 探 索... 73

8

9 超 高 速 超 低 消 費 電 力 物 質 科 学 シミュレーション 方 式 の 研 究 開 発 High-speed ultra low-power simulation methodology for material science 稲 葉 真 理 1 今 井 浩 1 須 田 礼 二 M. Inaba, H. Imai, R. Suda 東 京 大 学 The University of Tokyo 1 1 平 成 22 年 度 は 研 究 の 第 一 年 度 として 研 究 チームを 形 成 するとともに 直 接 的 にハー ドウェアに 問 題 を 写 像 することにより 超 低 消 費 電 力 かつ 超 高 速 に 物 質 科 学 における 第 一 原 理 に 基 づいたシミュレーションを 実 施 するための 基 盤 となる 研 究 を 実 施 した 具 体 的 には 超 低 消 費 電 力 シミュレーションを 実 現 するためのベースとなるアーキテクチャ 技 術 と 消 費 電 力 の 関 係 性 の 研 究 FPGA ベース 演 算 アクセラレータの 研 究 極 超 高 速 ネ ットワークを 有 効 に 活 用 するためのデータ 転 送 方 式 の 研 究 開 発 将 来 の 物 質 科 学 シミュ レーションを 容 易 かつ 高 速 に 記 述 するための 高 生 産 性 言 語 (HPC Ruby)の 研 究 GPGPU 実 行 環 境 の 研 究 開 発 を 実 施 した これらの 研 究 開 発 において 本 研 究 の A02 班 A03 班 との 有 機 的 連 携 を 実 現 するた め 1 月 に 1 回 以 上 共 同 セミナーを 実 施 し 計 算 システム 数 値 アルゴリズムと 物 質 科 学 シミュレーション 間 の 密 な 連 携 を 実 現 した 1. はじめに 超 高 速 超 低 消 費 電 力 物 質 科 学 シミュレーション 方 式 の 研 究 開 発 では 計 算 流 体 力 学 有 限 要 素 法 など すでに 確 立 した 分 野 と 比 較 して 著 しく 困 難 である 物 質 科 学 に おける 第 一 原 理 に 基 づいたシミュレーションの 高 速 化 大 規 模 化 を 実 現 することを 大 局 的 研 究 目 的 とする これらの 困 難 の 最 大 原 因 は 物 質 科 学 シミュレーションが FFT 密 行 列 計 算 疎 行 列 計 算 多 体 相 互 作 用 計 算 など 多 くの 計 算 要 素 を 複 雑 に 組 み 合 わせて 実 現 していることにある この 性 質 から 従 来 用 いられてきたベクトル 演 算 器 や SIMD ア クセラレータでは 計 算 全 体 の 加 速 が 困 難 であった 本 研 究 開 発 では 将 来 の Exa Flops, Zetta Flops スケールの 物 質 科 学 シミュレーション を 実 現 するための 基 礎 技 術 として 汎 用 性 を 保 ったまま Intel 等 の 汎 用 プロセッサ ク ラスタを 用 いたシミュレーションより2 桁 以 上 の 演 算 速 度 当 たりの 消 費 電 力 設 置 面 積 とコストの 削 減 すること 高 生 産 性 言 語 を 数 値 シミュレーションで 実 用 に 耐 えるレベル まで 高 速 化 することにより 物 質 シミュレーションのプログラム 記 述 を 高 生 産 性 言 語 で 実 現 することを 具 体 的 目 標 とする 本 研 究 項 目 では 上 記 目 標 を 物 理 レベルから 直 接 的 にハードウェアに 写 像 し オー バーヘッドを 極 限 まで 低 下 させた 計 算 機 構 を 求 め それを 実 現 するためのソフトウェア 層 を 構 築 すること また 物 質 シミュレーションを 容 易 かつ 高 性 能 にプログラムするため の 高 生 産 性 プログラミング 言 語 処 理 系 を 確 立 することを 目 的 とする 平 成 22 年 度 は 研 究 の 第 一 年 度 として 研 究 チームを 形 成 するとともに 直 接 的 にハー ドウェアに 問 題 を 写 像 することにより 超 低 消 費 電 力 かつ 超 高 速 に 物 質 科 学 における 第 一 原 理 に 基 づいたシミュレーションを 実 施 するための 基 盤 となる 研 究 を 実 施 した -1

10 2. 超 低 消 費 電 力 アーキテクチャ 技 術 の 研 究 超 低 消 費 電 力 シミュレーションを 実 現 するためのベースとなるアーキテクチャ 技 術 と 消 費 電 力 の 関 係 性 の 研 究 を 実 施 した 将 来 の 超 高 性 能 スーパーコンピュータのアーキテクチャの 候 補 として (1) 高 性 能 汎 用 プロセッサ( 例 えば Intel 社 製 x86 プロセッサ)のマルチコア 化 (2) 低 消 費 電 力 汎 用 プロセッサ( 例 えば ARM, Intel ATOM)のマルチコア 化 および(3) 数 値 計 算 用 アクセラレータ(GRAPE-DR や GPGPU のようなもの)の 併 用 による 応 用 指 向 プロセッ サが 想 定 される 本 研 究 細 目 では 過 去 から 現 在 までの 多 数 のプロセッサを 同 一 の 標 準 的 ベンチマークプログラムで 計 測 し プログラム 実 行 中 の 電 力 を 計 測 した 図 1 に 整 数 ベンチマーク(Dhrystone), 図 2 に 整 数 実 行 速 度 に 対 する 消 費 電 力 を 示 す 図 1.Dhrystone MIPS の 遷 移 スペースの 関 係 で 詳 細 データを 省 略 するが 測 定 の 結 果 2018 年 に Exa Flops を 実 現 するためには 10 倍 以 上 の 演 算 性 能 加 速 が 必 要 であり 応 用 指 向 プロセッサ 技 術 が 必 須 であることが 示 された また 現 在 最 も 標 準 的 である SPEC CPU2006 ベンチマークプ ログラムにおいて SPEC CPU2006INT( 整 数 ベンチマーク)と Dhrystone ベンチマーク プログラム SPEC CPU2006FLT( 数 値 計 算 ベンチマーク)と NAS Parallel ベンチマーク プログラムの 性 能 は ベクトル 計 算 機 を 含 め 長 期 間 広 い 範 囲 のアーキテクチャに 対 して 高 い 相 関 があることを 示 した SPEC ベンチマーク 実 行 には 非 常 に 完 成 し 品 質 が 高 いコンパイラが 必 要 であり x86, Power, Alpha, MIPS など 広 く 使 われたプロセッサ -2

11 以 外 では 計 測 が 困 難 であることを 考 慮 すると プロセッサ 性 能 の 測 定 における Dhrystone, NAS Parallel ベンチマークプログラムの 有 効 性 が 示 された 図 2.Dhrystone MIPS あたり 消 費 電 力 の 遷 移 3.FPGA ベース 演 算 アクセラレータの 研 究 FPGA ベース 演 算 アクセラレータの 研 究 では 先 行 研 究 である 汎 用 FPGA ベース 演 算 アクセラレータ Master-2 を 活 用 して 様 々な 研 究 開 発 を 実 施 した 平 成 22 年 度 は 超 高 速 ネットワーク 上 を 通 信 する 多 用 なトラフィックの 測 定 および 成 分 分 析 の 研 究 を 実 施 し 平 成 23 年 度 に 試 作 を 予 定 している FPGA ベース 演 算 アクセラレータ 設 計 の 基 盤 を 構 築 するとともに 超 高 速 ネットワークを 有 効 に 活 用 するために 必 須 である バー スト 性 を 持 つネットワークトラフィックに 対 するバンド 幅 予 測 技 術 を 確 立 した 4. 極 超 高 速 ネットワークを 有 効 に 活 用 するためのデータ 転 送 方 式 の 研 究 開 発 超 高 速 ネットワーク 特 に 多 くのユーザが 共 用 する Shared Network を 高 効 率 でデータ 転 送 するためのトラフィック 予 測 方 式 およびデータ 転 送 プロトコルの 研 究 開 発 を 実 施 した また 今 後 の 極 高 速 ネットワークを 用 いるデータ 転 送 方 式 の 研 究 のための 基 盤 と して 40Gbps ネットワーク 実 験 環 境 を 構 築 した 5. 高 生 産 性 言 語 (HPC Ruby)の 研 究 これまで プログラムを 書 きやすいが 実 行 速 度 が 非 常 に 遅 いために 数 値 シミュレーシ ョンでは 用 いられなかった 高 生 産 言 語 Ruby の 高 速 化 を 目 的 として 静 的 データフロー 解 析 に 基 づく Ruby の 最 適 化 の 研 究 開 発 を 実 施 した -3

12 開 発 中 の Ruby 最 適 化 コンパイラでは クラス 再 定 義 メソッド 再 定 義 等 に 対 する 投 機 的 な 検 査 命 令 の 挿 入 データフロー 解 析 で 変 数 メソッド 定 義 使 用 グラフ(VDUG,MDUG) 構 築 副 作 用 の 伝 播 を 考 慮 した 抽 象 解 釈 アルゴリズム 型 解 析 によるメソッドの 静 的 バインディング インライン 化 整 数 範 囲 解 析 による 多 倍 長 固 定 長 変 換 コスト 除 去 生 存 解 析 によるメモリアロケーション 除 去 反 復 的 な 部 分 実 行 アルゴリズム クラス 定 義 メソッド 定 義 のアップデート 解 析 部 分 実 行 クラス メソッドの 再 定 義 に 対 応 し Rails 等 を 静 的 に 解 析 可 能 化 部 分 実 行 不 可 能 命 令 により 発 生 する 副 作 用 を 解 析 分 類 不 要 な 投 機 的 検 査 の 除 去 を 組 み 込 み 著 しい 高 速 化 を 実 現 した 6.GPGPU 実 行 環 境 の 研 究 開 発 GPGPU 実 行 環 境 の 研 究 開 発 では 自 動 並 列 化 トランスレータによるCからCUDAへのプロ グラム 変 換 の 研 究 開 発 およびGPGPUのための 最 適 スケジューリングアルゴリズムに 取 り 組 んだ CからCUDAへの 自 動 並 列 化 トランスレータの 研 究 開 発 では Cで 記 述 されたプログラム を 指 示 行 なしでCUDAのホストコードとデバイスコードに 分 割 し 変 換 する 変 換 の 処 理 は 以 下 の 手 順 で 実 現 される: 1.どこをGPUで 処 理 するか 決 める 2. 配 列 の 添 字 を 解 析 し アクセスされる 範 囲 を 決 定 する 3.GPU 側 のメモリ 確 保 データ 転 送 メモリ 解 放 コードを 生 成 4. 計 算 部 分 をCUDAのカーネル 関 数 (GPUで 実 行 されるコード)に 変 換 5.ホストコードを GPUコードを 呼 び 出 すように 変 換 トランスレータで 変 換 したコードをGPGPU 搭 載 したクラスタで 性 能 評 価 した 被 評 価 コードは N-body, CG 法 PDE, K-means, 画 像 フィルタである 評 価 の 結 果 N-bodyでは 問 題 サイズが100を 超 えると 自 動 変 換 したGPGPU 使 用 コードの 方 が 高 速 となることが 示 さ れた 今 後 は 変 換 後 コードの 更 なる 高 速 化 を 追 求 する 予 定 である GPGPUにおける 最 適 スケジューリングアルゴリズムの 研 究 では データ 転 送 とカーネル 実 行 のスケジューリングをヒューリスティックにスケジュールする 具 体 的 には 1.カーネルの 実 行 性 能 を 測 定 2.CPU GPU 転 送 とGPU 計 算 を 並 列 化 3. 適 切 な 時 間 だけ GPU 計 算 のみ 実 行 4.GPU 計 算 とGPU CPU 転 送 を 並 列 化 という 手 順 でGPUスケジューリングを 実 現 した 本 方 式 を サイズの 行 列 積 に 適 用 して 評 価 を 行 った 図 3は 評 価 結 果 である -4

13 図 3.GPGPUスケジューリングの 評 価 ( 行 列 積 ) 7. 連 携 研 究 者 研 究 協 力 者 連 携 研 究 者 : 平 木 敬 ( 東 京 大 学 大 学 院 情 報 理 工 学 系 研 究 教 授 ) 8. 本 研 究 課 題 における 平 成 22 年 度 の 発 表 論 文 等 発 表 論 文 ( 国 際 会 議 ): 1) Tomohiro Sonobe, Mary Inaba, SAT CNF Encoding with Multi-modeling, The 3rd Annual Meeting of the Asian Association for Algorithms and Computation (AAAC2010), pp.45, Pohang, Korea, Apr.17-19, ) Yoshiki Iguchi, Naoki TANIDA, Kenichi Koizumi, Mary Inaba, Kei Hiraki, USADAFOX: Ultra-High-Speed file-acquisition-system over Distance with Apache and firefox, TERENA Networking Conference 2010 (TNC2010), Web-page, Vilnius, Lithuania, May.31- Jun.3, ) Yasuo Ishii, Mary Inaba, Kei Hiraki, Cache Replacement Policy Using Map-based Adaptive Insertion, JWAC-1: 1st JILP Workshop on Computer Architecture Competitions: cache replacement championship, pp.41-44, Saint-Malo, France, Jun.20, ) Ryo Wakuta and Tomohiro Sonobe, SPGF - Search Places by Geographical Features all around the world, the 10th Annual International Symposium on Applications and the Internet (SAINT2010), pp , Seoul, Korea, Jun.19-23, ) Naoki Tanida, Mary Inaba, Kei Hiraki, Adaptive auto-tuning of TCP pacing, The Fifth International Workshop on Automatic Performance Tuning (iwapt2010), California, USA, Jun.22, ) Hisanobu Tomari, Mary Inaba, Kei Hiraki, Compressing Floating-Point Number Stream for Numerical Applications, The First International Conference on Networking and Computing, Hiroshima, Japan, Nov.17-19, ) Seongsoo Moon, Mary Inaba, Modeling and simulation for EMS, 3rd International Conference on Wireless Information Networks & Business Information System (WINBIS'11), pp , Kathmandu, Nepal, Feb.27-Mar.1, ) N. Fu, H. Imai and S. Moriyama; Voronoi Diagrams on Periodic Graphs International Symposium on Voronoi Diagrams in Science and Engineering (ISVD '), pp , Quebec,

14 9) T. Tanuma, H. Imai and S. Moriyama: Revisiting Hyperbolic Voronoi Diagrams from Theoretical, Applied and Generalized Viewpoints International Symposium on Voronoi Diagrams in Science and Engineering (ISVD '10), pp.23-32, Quebec, ) Kamil Rocki, Reiji Suda, "MPI-GPU Monte Carlo Tree Search", IEEE 2011 International Conference on Information and Computer Applications(ICICA), Dubai, UAE, Mar.18-20, ) Kamil Rocki, Reiji Suda, Improving the GPU parallel Monte Carlo Tree Search performance by the standard deviation based error estimation, rd International Conference on Machine Learning and Computing (ICMLC 2011) Singapore, February 26-28, ) Kamil Rocki and Reiji Suda, "Massively Parallel Monte Carlo Tree Search", Proceedings of VECPAR 2010, 9th International Meeting High Performance Computing for Computational Science, June (25), ) Reiji Suda, "Methods of Parallel Experimental Design of Online Automatic Tuning and their Application to Parallel Sparse Matrix Data Structure", Proc. iwapt 2010, June 22, 2010 書 籍 : 1) Naoki Tanida, Kei Hiraki, Mary Inaba, Highly efficient data transmission facility through long distance high-speed networks with background traffic, Fusion Engineering and Design (FED), vol.85, pp , Apr ) Y. Nagayama, M. Emoto, Y. Kozaki, H. Nakanishi, S. Sudo, T. Yamamoto, K. Hiraki, S. Urushidani, A Proposal for the ITER remote Participation system in Japan, Fusion Engineering and Design (FED), vol.85, pp , Jun ) Yasuo Ishii, Mary Inaba, Kei Hiraki, Access Map Pattern Matching for High Performance Data Cache Prefetch, The Journal of Instruction Level Parallelism, Vol.13, (ISSN ), pp.1-24, Jan ) Editors: Ken Naono, Keita Teranishi, John Cavazos and Reiji Suda, "Software Automatic Tuning: From Concepts to the State-of-the-Art Results", Springer, Sep ) R. Suda, K. Naono, K. Teranishi and J. Cavazos, "Software Automatic Tuning: Concepts and State-of-the-Art Results", Chapter 1 of "Software Automatic Tuning: From Concepts to the State-of-the-Art Results", Springer, Sep , Editors: Ken Naono, Keita Teranishi, John Cavazos and Reiji Suda, pp ) R. Suda, "A Bayesian Method of Online Automatic Tuning", Chapter 16 of "Software Automatic Tuning: From Concepts to the State-of-the-Art Results", Springer, Sep. 2010, Editors: Ken Naono, Keita Teranishi, John Cavazos and Reiji Suda, pp ) Y. Sawa and R. Suda, "Autotuning Method for Deciding Block Size Parameters in Dynamically Load-balanced BLAS", Chapter 3 of "Software Automatic Tuning: From Concepts to the State-of-the-Art Results", Springer, Sep , Editors: Ken Naono, Keita Teranishi, John Cavazos and Reiji Suda, pp ) T. Kajiyama, A. Nukada, R. Suda, H. Hasegawa, and A. Nishida, "Toward Automatic Performance Tuning for Numerical Simulations in the SILC Matrix Computation Framework", Chapter 11 of "Software Automatic Tuning: From Concepts to the State-of-the-Art Results", Springer, Sep , Editors: Ken Naono, Keita Teranishi, John Cavazos and Reiji Suda, pp

15 その 他 : 1) 入 江 英 嗣, 本 城 剛 毅, 平 木 敬, 動 的 推 定 によるプリフェッチ 量 最 適 化, 情 報 処 理 学 会 論 文 誌 コンピューティングシステム, vol.3, no.3, pp.56-66, Sep ) 小 川 周 吾, 入 江 英 嗣, 平 木 敬, アクセス 履 歴 の 不 要 なマルチコアCPU 向 け 共 有 キャ ッシュ 配 分 方 式, 先 進 的 計 算 基 盤 システムシンポジウムSACSIS2010, pp , 奈 良 県 新 公 会 堂, May.27-28, ) 入 江 英 嗣, 本 城 剛 毅, 平 木 敬, 動 的 推 定 によるプリフェッチ 量 最 適 化, 先 進 的 計 算 基 盤 システムシンポジウムSACSIS2010, pp , 奈 良 県 新 公 会 堂, May.27-28, ) 山 本 孝 志, 中 西 秀 哉, 長 山 好 夫, 平 木 敬, 稲 葉 真 理, 谷 田 直 輝, 広 帯 域 ネットワー クを 用 いた 日 仏 間 高 速 データ 転 送 実 験, 第 8 回 核 融 合 エネルギー 連 合 講 演 会 (ポス ター), 高 山 市 民 文 化 会 館, Jun.10-11, ) 谷 田 直 輝, 稲 葉 真 理, 平 木 敬, パケット 喪 失 履 歴 に 基 づいたTCP 輻 輳 制 御 方 式, 2010 年 並 列 / 分 散 / 協 調 処 理 に 関 する 金 沢 サマー ワークショップ(SWoPP 金 沢 2010), 電 子 情 報 通 信 学 会 技 術 研 究 報 告, コンピュータシステム(CPSY), vol.110, no.167, pp.25-30, 金 沢 市 文 化 ホール, Aug.3-5, ) 柴 田 有, 平 木 敬, オフライン 環 境 における 多 様 性 の 高 い 実 行 時 自 己 改 変 ソフトウェ ア, 2010 年 並 列 / 分 散 / 協 調 処 理 に 関 する 金 沢 サマー ワークショップ(SWoPP 金 沢 2010), 電 子 情 報 通 信 学 会 技 術 研 究 報 告, コンピュータシステム(CPSY), vol.110, no.167, pp.85-90, 金 沢 市 文 化 ホール, Aug.3-5, ) 石 井 康 雄 稲 葉 真 理 平 木 敬, マップ 型 履 歴 を 用 いたプリフェッチ 方 式 とキャッ シュ 置 換 方 式 の 協 調 動 作, 2010 年 並 列 / 分 散 / 協 調 処 理 に 関 する 金 沢 サマー ワークショップ(SWoPP 金 沢 2010), 情 報 処 理 学 会 研 究 報 告, 計 算 機 アーキテクチャ (ARC), vol.190, no.13, PDF, 金 沢 市 文 化 ホール, Aug.3-5, ) 小 川 周 吾, 平 木 敬, メニーコアプロセッサ 向 き 共 有 キャッシュ 配 分 方 式, 2010 年 並 列 / 分 散 / 協 調 処 理 に 関 する 金 沢 サマー ワークショップ(SWoPP 金 沢 2010), 情 報 処 理 学 会 研 究 報 告, 計 算 機 アーキテクチャ(ARC), vol.190, no.13, PDF, 金 沢 市 文 化 ホ ール, Aug.3-5, ) 小 泉 賢 一, 稲 葉 真 理 平 木 敬, FPGAを 用 いた 広 帯 域 高 遅 延 ネットワーク 向 けの 利 用 可 能 帯 域 推 定, 第 9 回 情 報 科 学 技 術 フォーラム(FIT2010), RL-001, 第 四 分 冊 pp.1-5, 九 州 大 学, Sep.7-9, ) 山 田 大 地, 中 野 雄 介, 長 谷 部 雅 彦, 和 久 田 龍, 薗 部 知 大, 手 塚 宏 史, 稲 葉 真 理, 衛 星 データ 探 索 フレームワークのためのData-Process Memoization cache の 提 案, 第 3 回 楽 天 研 究 開 発 シンポジウム,(ポスター), 楽 天 本 社, Dec.18, ) 長 谷 部 雅 彦, 山 田 大 地, 中 野 雄 介, 和 久 田 龍, 薗 部 知 大, 手 塚 宏 史, 稲 葉 真 理, 衛 星 デ ータ 探 索 フレームワーク GGSⅡ の 提 案 と 実 装, 第 52 回 プログラミング シンポジ ウム, ニューウェルシティ 湯 河 原, pp.75-82,, Jan.7-9, ) 泊 久 信, 平 木 敬, Retrospective Study of Performance and Power Consumption of Computer Systems, 先 進 的 計 算 基 盤 システムシンポジウムSACSIS2011, to appear, 秋 葉 原 コンベ ンションホール, May.25-27, ) 今 井 浩, 夫 紀 恵, 森 山 園 子 : 量 子 グラフ 状 態 による 情 報 処 理. ナノ 量 子 情 報 エレクト ロニクスの 進 展 シンポジウム, 東 京 大 学, Dec.22, ) 今 井 浩 : Feynmannの2つの 提 唱 からグラフと 計 算 量 への 展 開. 電 子 情 報 通 信 学 会 第 23 回 量 子 情 報 技 術 研 究 会 (QIT 23), 東 京 大 学, Nov.15-16,

16 15) 今 井 浩 : 幾 何 から 量 子 そしてその 先. 計 算 機 科 学 の 発 展 と 未 来 研 究 集 会, 京 都 大 学, Mar.11, ) 本 間 咲 来, 須 田 礼 仁, GPGPUにおけるデータ 転 送 とカーネル 実 行 のヒューリスティ ックスケジューリング 情 報 処 理 学 会 研 究 報 告 HPC , ) 須 田 礼 仁, 自 動 チューニング 数 理 基 盤 ライブラリ ATMathCoreLib, 情 報 処 理 学 会 研 究 報 告 HPC , ) 須 田 礼 仁, 自 動 チューニングの 数 理 モデルと 最 適 化, 第 22 回 RAMP シンポジウム 論 文 集, 名 古 屋 大 学 シンポジオンホール Oct (28), 2010, pp 社 団 法 人 日 本 オペレーションズ リサーチ 学 会 常 設 研 究 部 会 数 理 計 画 19) 須 田 礼 仁, 自 動 チューニングのための 数 理 基 盤 技 術, 応 用 数 理, Vol.20, No.3, pp.5-14, Sep ) 須 田 礼 仁, 自 動 チューニングのための 実 験 計 画 におけるランダマイズの 効 用, 日 本 応 用 数 理 学 会 2010 年 度 年 会 講 演 予 稿 集 pp 明 治 大 学 駿 河 台 キャンパス,Sep. 6-9 (9th),

17 大 規 模 並 列 環 境 における 数 値 計 算 アルゴリズム Numerical Computation Algorithm on Large-Scale Parallel Environment 高 橋 大 介 1, 今 村 俊 幸 2, 多 田 野 寛 人 D. Takahashi, T. Imamura, H. Tadano 筑 波 大 学 1, 電 気 通 信 大 学 University of Tsukuba 1, The University of Electro-Communications ペタフロップスを 超 える 性 能 を 持 つ 大 規 模 並 列 環 境 における 数 値 計 算 アルゴリズ ムとして 高 速 フーリエ 変 換 (FFT) GPU による 4 倍 8 倍 精 度 BLAS GPU に おける 疎 行 列 ベクトル 積 CPU+GPU 環 境 上 で 動 作 する 実 対 称 固 有 値 ソルバ そ して Block Krylov アルゴリズムによる 連 立 一 次 方 程 式 の 求 解 高 速 化 について 研 究 を 行 うと 共 に 性 能 チューニング 手 法 について 検 討 した 1. はじめに 2011 年 3 月 現 在 1PFlops を 超 える 性 能 を 持 つスーパーコンピュータが 7 システム(そ のうち GPU を 搭 載 したものは 3 システム) 出 現 している 次 世 代 次 々 世 代 のスー パーコンピュータとしては マルチコア CPU に 加 えて GPU などのアクセラレータを 搭 載 した 計 算 ノードを 数 千 ~ 数 万 台 以 上 接 続 したものが 主 流 になることが 予 想 される このようなマルチコア CPU(+マルチ GPU)から 構 成 されるスーパーコンピュータに おいては プロセッサコア 数 の 増 加 や 演 算 性 能 あたりのメモリバンド 幅 の 不 足 などによ り 高 い 実 行 効 率 を 得 ることが 困 難 になりつつある したがって 今 後 計 算 科 学 におい てグランドチャレンジを 行 うためには これまでに 提 案 されてきた 並 列 数 値 計 算 アルゴ リズムや 性 能 チューニング 手 法 を 用 いるだけでは 不 十 分 である そこで 平 成 22 年 度 の 本 研 究 課 題 においては 大 規 模 並 列 環 境 における 数 値 計 算 ア ルゴリズムとして 次 世 代 スーパーコンピュータに 向 けた 高 速 フーリエ 変 換 (FFT)のアルゴリズム GPU による 4 倍 8 倍 精 度 BLAS GPU における 疎 行 列 ベクトル 積 CPU+GPU 環 境 上 で 動 作 する 実 対 称 固 有 値 ソルバ Block Krylov アルゴリズムによる 連 立 一 次 方 程 式 の 求 解 について 研 究 を 行 うと 共 に 性 能 チューニング 手 法 について 検 討 した これらの 並 列 数 値 計 算 アルゴリズムや 性 能 チューニング 手 法 は 計 算 物 質 科 学 の 実 アプリケーションプログラムに 反 映 させ これまでに 不 可 能 とされてきた 規 模 の 計 算 を 実 現 することができると 期 待 される 2. 次 世 代 スーパーコンピュータに 向 けた 高 速 フーリエ 変 換 (FFT)のアルゴリズムに 関 する 研 究 ( 高 橋 大 介 ) これまでに 提 案 されてきた 並 列 三 次 元 FFT における 典 型 的 な 配 列 の 分 散 方 法 として は 三 次 元 (x y z 方 向 )のうちの 一 次 元 のみ( 例 えば z 方 向 )を 分 割 して 配 列 を 格 納 することが 多 かった この 場 合 z 方 向 のデータ 数 はプロセッサ 数 以 上 となる 必 要 が ある -9-

18 次 世 代 スーパーコンピュータにおいては 性 能 を 向 上 させるためにコア 数 やプロセッ サ 数 が 増 える 傾 向 にあるため MPI プロセス 数 は 1 万 個 以 上 になることもあると 考 えら れる したがって z 方 向 に 一 次 元 分 割 した 場 合 には z 方 向 のデータ 数 が 1 万 点 以 上 でな ければならないことになり 三 次 元 FFT の 問 題 サイズに 制 約 を 受 けることになる この 問 題 点 を 改 善 する 方 法 として x y z 方 向 に 三 次 元 分 割 する 方 法 [1 2]が 提 案 されている 三 次 元 分 割 では x y z 方 向 に FFT を 行 う 際 に その 都 度 全 対 全 通 信 で データを 交 換 する 必 要 がある それに 対 して 二 次 元 分 割 においては x y z 方 向 の うち 分 割 されていない 方 向 が 一 つあるため 全 対 全 通 信 の 回 数 を 減 らすことができる という 利 点 がある[3] 本 研 究 課 題 においては 二 次 元 分 割 により 通 信 時 間 を 削 減 する と 共 に 演 算 と 通 信 をオーバーラップさせることで 従 来 の 実 装 に 比 べてさらに 性 能 を 改 善 した 図 1 に T2K-Tsukuba における 並 列 三 次 元 FFT の 性 能 を 示 す 演 算 と 通 信 をオーバー ラップさせることにより 性 能 が 改 善 されていることが 分 かる GFlops No overlap Overlap ^3 128^3 256^3 512^3 1024^3 2048^3 Length of transform N1xN2xN3 図 1.T2K-Tsukuba(64 ノード, 256MPI プロセス 4 スレッド)における 並 列 三 次 元 FFT の 性 能 3.GPU による 4 倍 8 倍 精 度 BLAS の 実 装 と 評 価 ( 高 橋 大 介 ) 4 倍 8 倍 精 度 演 算 に 対 応 した BLAS(Basic Linear Algebra Subprograms) 関 数 を GPU (Graphics Processing Unit) 向 けに 実 装 し 評 価 を 行 った 4 倍 8 倍 精 度 演 算 には double 型 倍 精 度 数 を 2 つ 連 結 して 4 倍 精 度 数 を 表 す double-double(dd) 型 4 倍 精 度 演 算 お よび double 型 倍 精 度 数 を 4 つ 連 結 して 8 倍 精 度 数 を 表 現 する quad-double(qd) 型 8 倍 精 度 演 算 を 用 いた NVIDIA Tesla C2050 による 性 能 評 価 では Intel Core i7 920 での 同 一 処 理 と 比 べ 4 倍 精 度 AXPY が 約 9.5 倍 8 倍 精 度 AXPY が 約 19 倍 高 速 化 された また 4 倍 精 度 GEMM は CPU に 比 べて 約 29 倍 8 倍 精 度 GEMM は 約 24 倍 の 高 速 化 を 達 成 した さらに Tesla C2050 では 4 倍 精 度 AXPY が 倍 精 度 演 算 の 高 々2.1 倍 の 演 算 時 間 となり GEMV GEMM でも 倍 精 度 演 算 に 対 する 計 算 時 間 の 増 大 が CPU の 場 合 と 比 べて 大 幅 に 削 減 された 一 方 で PCI-Express(PCIe)によるデータ 転 送 時 間 を 考 慮 した 場 合 倍 精 度 GEMM は PCIe データ 転 送 性 能 に 律 速 される 傾 向 が 見 られたが 4 倍 8 倍 精 度 GEMM ではこれ がほぼ 解 消 されることが 分 かった 4.GPU における 格 納 形 式 自 動 選 択 による 疎 行 列 ベクトル 積 の 高 速 化 ( 高 橋 大 介 ) -10-

19 科 学 技 術 計 算 に 用 いられる 連 立 一 次 方 程 式 では 疎 行 列 を 対 象 とするものが 多 い こ の 場 合 反 復 法 により 解 を 求 めることになり 疎 行 列 ベクトル 積 が 何 度 も 呼 び 出 される ことになるため この 疎 行 列 ベクトル 積 の 高 速 化 が 求 められる 疎 行 列 の 格 納 形 式 には 様 々なものが 提 案 されているが 対 象 となる 疎 行 列 によって 各 格 納 形 式 での 疎 行 列 ベク トル 積 の 性 能 に 差 が 生 じる 疎 行 列 ベクトル 積 は 演 算 量 よりもメモリアクセス 量 が 多 い 演 算 であるため GPU の 性 能 を 発 揮 させるためには 最 適 な 格 納 形 式 を 選 択 することが 重 要 である そこで 本 研 究 では 疎 行 列 の 格 納 形 式 を 自 動 選 択 することで 疎 行 列 ベクトル 積 の 高 速 化 を 行 った まず 予 備 評 価 として 4 種 類 の 格 納 形 式 での 疎 行 列 ベクトル 積 を 実 装 し 性 能 を 評 価 した その 結 果 非 零 要 素 のばらつきと 非 零 要 素 率 によって 各 格 納 形 式 での 疎 行 列 ベクトル 積 の 性 能 に 違 いが 生 じることが 分 かった この 予 備 評 価 の 結 果 を 用 いて 最 適 な 格 納 形 式 を 自 動 選 択 するためのアルゴリズムを 実 装 し 反 復 法 を 用 いた 連 立 一 次 方 程 式 の 求 解 を 用 いて 評 価 を 行 った NVIDIA Tesla C2050 による 性 能 評 価 の 結 果 今 回 用 いた 疎 行 列 のうち 多 くの 疎 行 列 に おいて 最 適 な 格 納 形 式 を 選 択 し CRS 形 式 のままで CUSPARSE [4]を 用 いて 実 行 する 場 合 と 同 等 またはそれよりも 高 速 であることが 分 かった また 特 に 反 復 回 数 が 少 ない 時 でも 本 研 究 で 提 案 した 自 動 選 択 が 有 効 であることが 分 かった 5.Multi-{core, socket, GPU} 向 け 数 値 計 算 ソフトウェアの 最 適 実 装 チューニング 技 術 の 研 究 ( 今 村 俊 幸 ) 5.1. はじめに 2011 年 3 月 現 在 世 界 最 高 性 能 のスパコンは 中 国 の Tianhe-1A( 天 河 1A)で GPU を 7168 基 搭 載 する 東 工 大 の TSUBAME2.0 も GPU を 搭 載 するスパコンである 現 在 のスパコンのトレンドはマルチ GPU+マルチコア CPU である マルチコア CPU を 使 い こなすことも 非 常 に 複 雑 であるにもかかわらず 利 用 者 は 更 に GPU の 効 率 利 用 を 求 め られる GPU のプログラミングは NVIDIA 社 の CUDA [5]に 代 表 されるが これまでの マルチスレッドプログラミング 以 上 に 多 数 のスレッドを 生 成 するプログラミングが 要 請 される 本 年 度 の 研 究 主 眼 は 数 値 計 算 ソフト 特 に 本 研 究 領 域 で 非 常 に 利 用 頻 度 の 高 い 固 有 値 ソルバ の GPGPU 化 である GPU を 利 用 する 数 値 計 算 ライブラリとして CULA [6] や MAGMA [7]が 先 行 している 既 存 の LAPACK を GPGPU 化 したものであるが 固 有 値 ソルバを 完 全 サポートしているわけではない また 逐 次 計 算 機 向 けライブラリの LAPACK をベースとしていることから 分 散 メモリ クラスタへの 対 応 には 至 ってい ない 本 研 究 計 画 ではマルチ GPU クラスタ 上 での 数 値 計 算 ライブラリの 実 装 方 法 の 技 術 確 立 を 目 標 としており 既 存 プロジェクトに 先 駆 けての 研 究 開 発 の 第 一 歩 として 単 体 CPU+GPU での 固 有 値 ソルバを 実 装 し プログラミング 上 の 問 題 点 数 値 計 算 ソフト ウェア 構 成 方 法 の 検 討 性 能 評 価 などを 実 施 する 5.2. CPU と GPU を 併 用 した 固 有 値 ソルバの 性 能 測 定 結 果 固 有 値 計 算 の 過 程 で 最 も 負 荷 の 高 いハウスホルダー 三 重 対 角 化 ならびに 逆 変 換 中 に 現 れる 行 列 ベクトル 積 行 列 更 新 操 作 を CUDA-BLAS で 置 き 換 え 最 も 簡 単 な GPGPU 化 を 実 施 した CUDA 上 で 動 作 する BLAS は NVIDIA 社 が CUDA 環 境 に 同 封 する CUBLAS [8] テネシー 大 学 の MAGMA プロジェクト 内 の MAGMABLAS [7] が 知 られている 高 度 に 最 適 化 された 関 数 もあるが 固 有 値 ソルバに 必 要 な 幾 つかの 関 数 はあまり 最 適 化 されていない したがって 最 適 化 された BLAS 関 数 を 作 成 し これ -11-

20 までに 別 プロジェクトにて 開 発 してきた 固 有 値 ソルバ(eigen_s)に 組 み 込 んだ 表 1 に CUDA-BLAS を 組 み 込 んだ 固 有 値 ソルバ(eigen_sg)の 性 能 測 定 結 果 を 示 す 表 の 上 段 に 計 算 時 間 秒 下 段 に 性 能 FLOPS を 記 録 している 注 目 すべきは 市 場 で 安 価 に 手 に 入 る CPU+GPU の 組 み 合 わせ(SandyBridge+GTX580)で 2000 次 元 の 全 固 有 値 全 固 有 ベクトルの 計 算 が 1 秒 以 下 で 実 現 されたことである CPU+GPU の 理 論 性 能 は 約 200GFLOPS であるので 1/6~1/3 程 度 の 効 率 で 計 算 が 達 成 されたことになる 固 有 値 計 算 はメモリバンド 幅 で 性 能 が 頭 打 ちになる 部 分 があることを 考 慮 すると この 効 率 は 極 めて 高 いものである 表 1.Eigen_sg の 性 能 測 定 結 果 行 列 の 次 元 GTX285 /Phenom II X4 GTX460 /Corei7 TeslaC2050 /Coire7 GTX580 /SandyBridge G 16.7G 22.0G 25.8G 28.5G 31.2G 33.1G 35.2G NA 9.52G 17.8G 22.6G 26.7G 29.3G 31.4G 35.8G G 22.9G 31.4G 38.3G 42.2G 47.3G 50.4G 55.4G G 30.8G 43.3G 51.6G 56.8G 62.8G 66.5G 71.8G 5.3. まとめ 次 世 代 スパコンの 原 型 とも 言 われている GPU クラスタ の 最 小 単 位 である CPU+GPU 環 境 上 で 動 作 する 実 対 称 固 有 値 ソルバ 開 発 を 実 施 した 計 測 に 使 用 した 計 算 機 環 境 は 多 くの 場 合 市 場 で 安 価 で 購 入 できるもので 15~40 万 円 程 度 である 開 発 途 中 ではあるが 予 備 実 験 において SandyBridge+GTX580 の 組 み 合 わせで 2000 次 元 の 完 全 対 角 化 ( 数 学 的 な 意 味 で 全 固 有 値 固 有 ベクトルの 計 算 )が 1 秒 以 下 で 実 現 された 今 後 は GPU クラスタを 指 向 した GPU 間 通 信 部 分 のインフラを 整 備 し GPU クラスタ 向 け 固 有 値 ソルバの 開 発 を 進 めていきたい 6.Block Krylov アルゴリズムによる 連 立 一 次 方 程 式 の 求 解 高 速 化 ( 多 田 野 寛 人 ) 大 規 模 固 有 値 問 題 の 一 部 の 固 有 対 を 求 める 解 法 である Sakurai-Sugiura 法 (SS 法 )で は 複 数 本 の 右 辺 ベクトルをもつ 連 立 一 次 方 程 式 の 求 解 を 必 要 とする 同 方 程 式 の 求 解 時 間 は SS 法 の 演 算 の 大 部 分 を 占 めるため 求 解 時 間 の 高 速 化 が 望 まれている 複 数 本 の 右 辺 ベクトルをもつ 連 立 一 次 方 程 式 の 反 復 法 として Block Krylov 部 分 空 間 反 復 法 がある 同 法 で 複 数 本 のベクトルをまとめて 解 くことにより 1 本 ずつ 解 いた 場 合 よりも 少 ない 反 復 回 数 で 解 が 得 られることがある しかしながら 右 辺 ベクトル 数 L が 大 きくなると 数 値 的 不 安 定 性 により 残 差 が 発 散 する 場 合 もある Block Krylov 部 分 空 間 反 復 法 の 1 つである Block BiCGSTAB 法 の 相 対 残 差 履 歴 を 図 2 に 示 す 図 2 の 赤 線 が 示 すように 途 中 まで 相 対 残 差 が 減 少 しているものの 数 値 的 不 安 定 性 の 影 響 で 発 散 に 転 じている 同 法 で 現 れる L 本 のベクトル 列 に 対 して 直 交 化 を 施 すことで 数 値 的 不 安 定 性 を 抑 制 でき 相 対 残 差 の 収 束 性 を 改 善 できた -12-

21 図 3 に 右 辺 ベクトル 数 を 変 化 させたときの Block BiCGSTAB 法 の 計 算 時 間 変 化 を 示 す 図 中 の J は 前 処 理 として 用 いた Jacobi 法 の 反 復 回 数 を 表 す なお J=0 は 前 処 理 を 行 っていないことを 示 す 前 処 理 を 行 わない 場 合 は 右 辺 ベクトル 数 L の 増 加 に 伴 い 計 算 時 間 も 大 きく 増 加 する しかしながら Jacobi 法 で 前 処 理 を 行 うことにより 計 算 時 間 を 短 縮 することができた また J=8 の 場 合 に 注 目 すると L=1 と L=12 における 計 算 時 間 がほぼ 同 じであることが 分 かる これは Block Krylov 部 分 空 間 反 復 法 による 反 復 回 数 の 減 少 と 係 数 行 列 と 複 数 本 のベクトルの 積 の 効 率 化 の 相 乗 効 果 によるものである Relative residual norm Iteration number 図 2. L=8 の Block BiCGSTAB 法 の 相 対 残 差 Time [sec] J=0 J=2 J=4 J=6 J= Number of right-hand sides, L 図 3. 右 辺 ベクトル 数 L の 変 化 による 直 交 化 履 歴 : 直 交 化 なし : 直 交 化 付 き 付 きBlock BiCGSTAB 法 の 計 算 時 間 変 化 7.まとめ 平 成 22 年 度 の 本 研 究 課 題 においては 大 規 模 並 列 環 境 における 数 値 計 算 アルゴリズ ムとして 次 世 代 スーパーコンピュータに 向 けた 高 速 フーリエ 変 換 (FFT)のアルゴリズム GPU による 4 倍 8 倍 精 度 BLAS GPU における 疎 行 列 ベクトル 積 CPU+GPU 環 境 上 で 動 作 する 実 対 称 固 有 値 ソルバ Block Krylov アルゴリズムによる 連 立 一 次 方 程 式 の 求 解 について 研 究 を 行 うと 共 に 性 能 チューニング 手 法 について 検 討 した 来 年 度 は 今 年 度 の 研 究 成 果 をさらに 発 展 させると 共 に 計 算 物 質 科 学 の 研 究 者 と 連 携 して 実 アプリケーションプログラムの 高 速 化 を 進 めていきたい 8. 参 考 文 献 [1] M. Eleftheriou, B. G. Fitch, A. Rayshubskiy, T. J. C. Ward, R. S. Germain: Scalable framework for 3D FFTs on the Blue Gene/L supercomputer: Implementation and early performance measurements, IBM Journal of Research and Development, Vol. 49, pp , [2] B. Fang, Y. Deng, and G. Martyna. Performance of the 3D FFT on the 6D network torus QCDOC parallel supercomputer, Computer Physics Communications, Vol. 176, pp , [3] D. Takahashi: An Implementation of Parallel 3-D FFT with 2-D Decomposition on a Massively Parallel Cluster of Multi-core Processors, Proc. 8th International Conference on Parallel Processing and Applied Mathematics (PPAM 2009), Part I, Workshop on Memory Issues on Multi- and Manycore Platforms, Lecture Notes in Computer Science, No. 6067, pp. -13-

22 , Springer-Verlag, [4] NVIDIA: CUSPARSE User Guide, df [5] NVIDIA: CUDA C Programming Guide 3.1.1, ogrammingguide_3.1.pdf [6] J. R. Humphrey, D. K. Price, K. E. Spagnoli, A. L. Paolini, E. J. Kelmelis, "CULA: Hybrid GPU Accelerated Linear Algebra Routines," SPIE Defense and Security Symposium (DSS), April, [7] MAGMA プロジェクト, [8] NVIDIA : CUDA CUBLAS Library, 3/toolkit/docs/CUBLAS Library 2.3.pdf 9. 連 携 研 究 者 研 究 協 力 者 連 携 研 究 者 : 佐 藤 三 久 ( 筑 波 大 学 大 学 院 システム 情 報 工 学 研 究 科 教 授 ) 朴 泰 祐 ( 筑 波 大 学 大 学 院 システム 情 報 工 学 研 究 科 教 授 ) 櫻 井 鉄 也 ( 筑 波 大 学 大 学 院 システム 情 報 工 学 研 究 科 教 授 ) 10. 本 研 究 課 題 における 平 成 22 年 度 の 発 表 論 文 と 招 待 講 演 発 表 論 文 : 1) 久 保 田 悠 司, 高 橋 大 介 :GPUにおける 格 納 形 式 自 動 選 択 による 疎 行 列 ベクトル 積 の 高 速 化, 情 報 処 理 学 会 研 究 報 告,2010-ARC-192,2010-HPC-128,No. 19, 北 海 道 大 学,2010 年 12 月 17 日. 2) 椋 木 大 地, 高 橋 大 介 :GPUによる4 倍 8 倍 精 度 BLASの 実 装 と 評 価,2011 年 ハイパフ ォーマンスコンピューティングと 計 算 科 学 シンポジウムHPCS2011 論 文 集,pp , 産 業 技 術 総 合 研 究 所,2011 年 1 月 19 日. 3) Yuji Kubota and Daisuke Takahashi:Autotuning of Sparse Matrix-Vector Multiplication by Selecting Storage Schemes on GPU,2011 SIAM Conference on Computational Science and Engineering,Grand Sierra Resort and Casino,Reno,Nevada,USA,2011 年 3 月 1 日. 4) 今 村 俊 幸, 山 田 進, 町 田 昌 彦 : eigen_sg: マルチコア+GPGPU 環 境 における 固 有 値 ソ ルバ 開 発, 2011 年 ハイパフォーマンスコンピューティングと 計 算 科 学 シンポジウム, HPCS2011 論 文 集 (ポスター 発 表 ), 産 業 技 術 総 合 研 究 所, 2011 年 1 月 18 日. 5) 今 村 俊 幸 : GPGPU を 用 いた 固 有 値 計 算 の 可 能 性, 日 本 応 用 数 理 学 会 2010 年 度 年 会, 明 治 大 学, 2010 年 9 月 9 日. 招 待 講 演 : なし -14-

23 計 算 物 質 科 学 の 基 盤 となる 超 大 規 模 系 のための 高 速 解 法 Fast solution for ultra-large scale systems as a basis of computational materials science 張 紹 良 1 阿 部 邦 美 2 曽 我 部 知 広 3 今 堀 慎 治 1 宮 田 考 史 1 山 本 有 作 S.-L. Zhang, K. Abe, T. Sogabe, I. Imahori, T. Miyata, Y. Yamamoto 名 古 屋 大 学 1 岐 阜 聖 徳 学 園 大 学 2 愛 知 県 立 大 学 3 神 戸 大 学 Nagoya University, Gifu Shotoku University, Aichi Prefectural University, Nagoya University, Nagoya University, Kobe University 本 領 域 は 様 々の 異 なる 計 算 手 法 により 複 合 相 関 と 非 平 衡 ダイナミクスに 焦 点 を 当 てた 物 質 デザインに 挑 戦 するものであり その 研 究 過 程 において 数 多 くの 超 大 規 模 線 形 方 程 式 と 固 有 値 問 題 を 直 面 しなければならない この 領 域 に 現 れる 線 形 方 程 式 と 固 有 値 問 題 を 総 合 的 に 研 究 し より 高 効 率 よりロバストな 解 法 の 系 を 開 発 整 備 するため 本 研 究 は 電 子 構 造 計 算 のために 蓄 積 してきたノウハウが 領 域 内 での 各 研 究 グループの 有 機 的 な 結 合 に 活 用 することにより 統 一 的 な 観 点 か らそれらの 数 理 的 特 徴 を 捉 えたうえ 数 理 手 法 重 視 の 高 速 解 法 の 誕 生 を 目 指 す はじめに 既 知 の 基 礎 法 則 や 支 配 原 理 から 出 発 して 極 めて 大 きな 自 由 度 をもつ 自 然 系 や 人 工 物 系 などの 振 る 舞 いを 理 解 予 測 するためには 計 算 機 による 超 大 規 模 科 学 技 術 計 算 が 不 可 欠 であり 近 年 の 計 算 科 学 分 野 のめざましい 進 歩 は 高 速 計 算 機 の 性 能 によるものだけ でなく 数 値 解 法 の 驚 異 的 な 進 歩 にもよるものである 物 質 デザインコンピューティク スでは 数 百 万 規 模 以 上 の 系 が 頻 繁 に 現 れて 計 算 時 間 の 大 半 がそれを 解 くことに 費 や されると 予 想 される この 部 分 の 計 算 効 率 の 向 上 は 物 質 デザインコンピューティクスの 分 野 においてきわめて 重 要 である 本 研 究 では 物 質 デザインコンピューティクスに 現 れる 様 々な 超 大 規 模 系 の 数 理 的 諸 特 徴 を 研 究 すると 同 時 に 最 新 の 計 算 機 を 高 度 に 駆 使 するための 高 速 解 法 に 対 して 総 合 的 開 発 を 行 うことを 目 的 とする 本 研 究 の 目 標 としては 解 きにくい 問 題 を 簡 単 に 計 算 時 間 のかかる 問 題 を 高 速 に 計 算 精 度 の 不 十 分 な 問 題 を 高 精 度 に 解 けるようにするこ とである 2. 新 しい 高 速 解 法 の 研 究 開 発 以 下 では 線 形 方 程 式 と 固 有 値 問 題 を 分 けて それぞれにおいて 初 年 度 の 研 究 成 果 を 紹 介 す る 2.1 線 形 方 程 式 に 対 する 部 分 空 間 法 の 開 発 新 型 前 処 理 の 研 究 応 用 分 野 の 広 い 範 囲 に 現 れる 線 形 方 程 式 に 対 してクリロフ 部 分 空 間 法 の 開 発 は80 年 代 までに 大 きな 進 展 があって Bi-CG 法 とGMRES 法 がその 代 表 として 欧 米 の 学 者 によ って 提 案 されて 多 くの 応 用 分 野 に 利 用 されてきた 本 研 究 では 近 年 この 二 つの 解 法 に 対 して 理 論 に 基 づいてその 改 良 を 目 指 している 最 近 はGMRES 法 の 実 用 版 であるGMRES(m) 法 に 対 して その 収 束 所 要 時 間 を 大 幅 に 短 縮 できる 改 良 版 Look-Back GMRES(m) 法 の 開 発 に 成 功 した 一 方 応 用 分 野 への 適 用 の 重 要 性 を 鑑 み 本 研 究 では 計 算 物 質 科 学 のみならず 流 体 力 学 電 気 回 路 設 計 線 形 計 画 問 題 音 場 計 算 プラズマシミュレーション フォトニ -15-

24 クス 等 の 分 野 の 専 門 家 とも 交 流 を 深 め 様 々なスタイルで 共 同 研 究 を 進 めている とり わけ 東 京 大 学 の 藤 原 グループが 開 発 をしている 超 大 規 模 電 子 構 造 計 算 プログラムパッ ケージに 対 して その 問 題 に 特 化 した 高 速 解 法 Shifted-COCG 法 を 提 案 し 数 万 以 上 の 原 子 の 系 の 大 規 模 計 算 に 対 して 高 速 解 法 の 数 理 的 な 突 破 口 を 開 いて さらに 計 算 効 率 の 向 上 に 関 する 知 見 を 深 めた また 各 反 復 毎 に 異 なる 前 処 理 を 適 用 できる 概 念 が 提 案 され GMRES 法 にその 概 念 を 実 装 した FGMRES 法 や GMRESR 法 が 開 発 されている 一 方 SOR 法 を 用 いた 可 変 的 前 処 理 付 き GCR 法 が 提 案 されている 前 処 理 を 実 行 する 際 に Krylov 空 間 法 を 用 い るより SOR 法 の 方 が 効 果 的 である 場 合 が 報 告 されている しかし 対 角 項 に 零 を 持 つ 行 列 や 長 方 行 列 を 係 数 に 持 つような 線 形 方 程 式 に 対 しては 通 常 の SOR 法 を 使 うこと はできない そこで 特 異 行 列 や 対 角 項 に 零 を 持 つような 行 列 に 対 しても SOR 法 を 適 用 できるように 反 復 行 列 を 生 成 する 際 の 行 列 分 離 方 法 を 改 良 し ある 種 の GSOR 法 を 提 案 した 数 値 実 験 では 提 案 した GSOR 法 を 可 変 的 前 処 理 付 き GCR 法 のアルゴリ ズムに 適 用 した 際 の 有 効 性 を 示 した さらに 前 処 理 のための 反 復 が 計 算 時 間 の 大 半 を 占 める そこで 残 差 多 項 式 係 数 の 計 算 で 現 れる 内 積 演 算 の 精 度 に 着 目 し 精 度 が 低 下 した 場 合 に 内 部 反 復 を 打 ち 切 る 方 法 (エコロジカルに 内 部 反 復 を 実 行 する 方 法 )を 提 案 し 計 算 時 間 を 削 減 した 2.2 固 有 値 問 題 に 対 する 直 接 法 と 部 分 空 間 法 の 開 発 その1:フォトニクス 結 晶 の 固 有 値 問 題 に 対 する 高 速 解 法 工 学 における 科 学 技 術 計 算 では 大 規 模 行 列 の 固 有 値 問 題 が 様 々な 応 用 分 野 に 頻 繁 に 現 れ 計 算 時 間 の 大 半 が 固 有 値 問 題 を 解 くことに 費 やされるという 事 実 がある 大 規 模 固 有 値 問 題 を 高 速 かつ 高 精 度 に 解 くことは 計 算 科 学 の 分 野 において 極 めて 重 要 である 固 有 値 問 題 は 複 素 平 面 上 に 分 布 した 膨 大 な 数 の 点 ( 固 有 値 )の 中 から 工 学 上 重 要 な 固 有 値 だけを 選 別 して 求 める 問 題 である 必 要 な 固 有 値 は 工 学 問 題 によって 異 なるため 様 々 な 固 有 値 のニーズに 対 応 した 数 値 解 法 が 求 められている 近 年 フォトニクス 結 晶 の 応 用 が 盛 んに 行 われており 電 子 の 代 わりに 光 を 利 用 した 集 積 回 路 が 注 目 を 集 めている このような 次 世 代 集 積 回 路 の 設 計 においては 従 来 と 異 なる 固 有 値 のニーズが 生 じている[1] そのため 既 存 解 法 の 効 率 的 な 適 用 は 困 難 であり 新 た な 高 速 解 法 の 開 発 が 望 まれている 本 研 究 班 は 新 しい 固 有 値 のニーズに 対 して 数 理 的 な 側 面 から 高 速 解 法 の 研 究 開 発 を 行 っている 従 来 応 用 分 野 に 応 じて 様 々な 固 有 値 のニーズがある 例 えば 電 磁 流 体 力 学 や 電 子 構 造 計 算 の 応 用 分 野 では 複 素 平 面 上 において 指 定 された 点 近 傍 の 少 数 の 固 有 値 が 必 要 とされており このようなニーズに 対 して 様 々な 反 復 法 が 提 案 されている[2] 一 方 フォトニクス 結 晶 の 応 用 分 野 から 生 じた 固 有 値 問 題 では 単 位 円 周 上 に 存 在 するすべて の 固 有 値 が 必 要 とされている そのため 必 要 な 固 有 値 は 一 点 の 近 傍 に 存 在 する 保 証 が なく 既 存 の 反 復 法 はその 効 率 的 な 適 用 が 困 難 である 本 研 究 では 必 要 なすべての 固 有 値 を 確 実 かつ 高 速 に 計 算 することを 目 指 し 数 値 解 法 の 開 発 を 行 っている 具 体 的 には 単 位 円 の 内 部 と 外 部 にそれぞれ 円 を 設 置 し 二 つ の 円 で 囲 まれた 多 重 連 結 領 域 を 設 定 する( 図 1 参 照 二 つの 円 の 半 径 の 差 を 0.01 とし た) この 領 域 は 単 位 円 を 含 んでいるため 必 要 な 固 有 値 もすべて 領 域 内 部 に 含 まれて いる そのため 固 有 値 のニーズは 指 定 された 多 重 連 結 領 域 内 部 のすべての 固 有 値 として 捉 えることが 可 能 となる 次 に 多 重 連 結 領 域 内 部 のすべての 固 有 値 を 求 めるた め 既 存 の 解 法 (Sakurai-Sugiura 法 [3])を 拡 張 した その2: 独 立 成 分 分 析 のための LUJ2D アルゴリズムのキャッシュ 向 け 最 適 化 -16-

25 近 年 注 目 されている 多 変 量 解 析 の 新 手 法 として 独 立 成 分 分 析 がある[4] 独 立 成 分 分 析 は n 個 の 独 立 な 信 号 源 からの 信 号 s(t)(s(t)は n 次 元 ベクトル)の 線 形 結 合 として 観 測 信 号 x(t)=as(t)(a は n n 行 列 )が 与 えられたときに x(t)のみから s(t)を 復 元 する 手 法 である 元 々は 信 号 処 理 の 手 法 として 提 案 されたが 最 近 ではタンパク 質 ダイナミ クスの 解 析 [5]などへも 応 用 が 始 まっている 独 立 成 分 分 析 のアルゴリズムとしては FastICA JADE をはじめとして 様 々なアルゴリ ズムが 提 案 されているが 大 規 模 計 算 で 必 須 となる 実 装 の 高 速 化 については まだあまり 研 究 が 進 んでいない そこで 安 定 性 汎 用 性 の 面 で 優 れたアルゴリズムである LUJ2D[6] を 取 り 上 げ キャッシュを 意 識 した 実 装 の 高 速 化 を 行 った LUJ2D は 異 なる 時 間 ラグを 持 つ 複 数 の 相 関 行 列 を 同 時 対 角 化 することで 独 立 成 分 を 復 元 する 手 法 であり 計 算 パターンは 固 有 値 計 算 のためのヤコビ 法 に 類 似 している このアルゴリズムに 対 して 演 算 の 依 存 関 係 の 解 析 を 行 い 演 算 順 序 を 変 更 することで 収 束 性 を 損 なわずにアルゴリズムのブロック 化 が 行 えることを 示 した 1) ブロック 化 に より 計 算 の 主 要 部 分 を 行 列 乗 算 の 形 に 書 き 直 すことが 可 能 となる 行 列 乗 算 はキャッ シュを 有 効 に 利 用 できるため これにより メモリアクセスのオーバーヘッドを 削 減 し 高 速 化 が 可 能 となる 独 立 成 分 分 析 のための LUJ2D アルゴリズムに 対 してキャッシュ 向 けの 最 適 化 を 行 い 従 来 法 の 約 1.8 倍 の 高 速 化 を 達 成 した 今 後 は 他 の 独 立 成 分 分 析 アルゴリズムに 対 し ても 最 適 化 を 行 うとともに タンパク 質 ダイナミクスの 解 析 など 物 性 分 野 への 応 用 も 行 っていく 予 定 である 3. 結 果 香 港 科 技 大 学 の Prof. Lu から 提 供 されたフォトニクス 結 晶 の 固 有 値 問 題 に 対 して 本 解 法 の 有 効 性 を 検 証 した 数 値 実 験 の 結 果 本 解 法 を 用 いて 単 位 円 周 上 のすべての 固 有 値 が 得 られた 図 1. 複 素 平 面 上 の 固 有 値 分 布 ( 必 要 な 固 有 値 不 要 な 固 有 値 ) -17-

26 LUJ2D アルゴリズムのキャッシュ 向 け 最 適 化 の 計 算 結 果 は 図 2に 結 果 を 示 す 信 号 源 の 数 が n=240 本 で 同 時 対 角 化 を 行 う 相 関 行 列 が 240 個 の 大 規 模 問 題 の 場 合 従 来 法 で は Opteron 1.8GHz プロセッサで 2,000 秒 程 度 の 時 間 がかかっていた 提 案 手 法 ではそれ が 約 1.8 倍 高 速 化 されている 図 2. 提 案 手 法 による 高 速 化 の 効 果 ( 信 号 源 240 本 Opteron プロセッサの 場 合 ) 4. まとめ 本 研 究 で 開 発 を 行 っている 高 速 解 法 は 実 問 題 に 対 する 数 値 実 験 の 結 果 その 有 効 性 が 確 認 された 今 後 は 東 京 大 学 渡 邉 グループと 鳥 取 大 学 星 研 との 非 線 形 シフト 方 程 式 非 線 形 固 有 値 問 題 に 関 する 共 同 研 究 を 強 化 させながら より 大 規 模 な 実 問 題 の 解 析 に 役 立 てるため 数 理 的 な 側 面 から 解 法 の 高 速 化 に 取 り 組 む 総 合 的 開 発 の 成 果 としては 我 々は 専 門 家 と 利 用 者 のインタラクションを 計 るため 様 々なサービスを 提 供 する 計 算 サーバを 構 築 し 始 めている 我 が 国 に 今 現 在 そのような システムが 存 在 しない 現 状 に 対 して 我 々はウェブサーバの 構 築 を 通 して 専 門 家 と 利 用 者 の 双 方 にとって 使 いやすいインターフェスを 有 するものを 目 指 す 5. 参 考 文 献 [1] Y. Huang, Y. Y. Lu, and S. Li, Analyzing photonic crystal waveguides by Dirichletto-Neumann maps, J. Opt. Soc. Am. B, 24 (2007), [2] Z. Bai, J. Demmel, J. Dongarra, A. Ruhe and H. van der Vorst, eds., Templates for the solution of Algebraic Eigenvalue Problems: A Practical Guide, SIAM, Philadelphia, [3] T. Sakurai and H. Sugiura, A projection method for generalized eigenvalue problems using numerical integration, J. Comput. Appl. Math., 159 (2003), [4] A. Hyvärinen, J. Karhunen and E. Oja, Independent Component Analysis, Wiley-Interscience, [5] 成 富 佑 輔 他 独 立 成 分 分 析 によるタンパク 質 ダイナミクスの 解 析 : 長 時 間 スケールの 揺 らぎ 第 48 回 日 本 生 物 物 理 学 会 年 会 講 演

27 [6] B. Afsari, Simple LU and QR based non-orthogonal matrix joint diagonalization, in: Proc. of the 6th Int. Conf. on Independent Component Analysis and Blind Source Separation (J. Rosca et al. ed.), Lecture Notes in Computer Science, Vol. 3889, pp. 1-7, Springer-Verlag, [7] S. Imahori, Y. Chien, Y. Tanaka, M. Yagiura, "Enumerating bottom-left stable positions for rectangles with overlap", 第 9 回 情 報 科 学 技 術 フォーラム(FIT2010) 講 演 論 文 集 ( 第 1 分 冊 ) pp [8] 川 島 大 貴 田 中 勇 真 今 堀 慎 治 柳 浦 睦 憲 "3 次 元 箱 詰 め 問 題 に 対 する 構 築 型 解 法 の 効 率 的 実 現 法 " 第 9 回 情 報 科 学 技 術 フォーラム(FIT2010) 講 演 論 文 集 ( 第 1 分 冊 ) pp 連 携 研 究 者 研 究 協 力 者 連 携 研 究 者 : 杉 原 正 顕 教 授 ( 東 京 大 学 大 学 院 情 報 理 工 系 学 研 究 科 ) 7. 本 研 究 課 題 における 平 成 22 年 度 の 発 表 論 文 と 招 待 講 演 発 表 論 文 : 1) Y. Mizuno, K. Ohi, T. Sogabe, Y. Yamamoto and Y. Kaneda, Four-point correlation function of a passive scalar field in rapidly fluctuating turbulence: Numerical analysis of an exact closure equation, Phys. Rev. E 82, (2010), pp ) S. Imahori, Y. Chien, Y. Tanaka and M. Yagiura, "Enumerating bottom-left stable positions for rectangles with overlap", 第 9 回 情 報 科 学 技 術 フォーラム(FIT2010) 審 査 あり 講 演 論 文 集 ( 第 1 分 冊 ) pp ) 川 島 大 貴 田 中 勇 真 今 堀 慎 治 柳 浦 睦 憲 "3 次 元 箱 詰 め 問 題 に 対 する 構 築 型 解 法 の 効 率 的 実 現 法 " 第 9 回 情 報 科 学 技 術 フォーラム(FIT2010) 審 査 あり 講 演 論 文 集 ( 第 1 分 冊 ) pp, ) M. E. A. El-Mikkawy and T. Sogabe, A new family of k-fibonacci numbers, Appl. Math. Comput., 215 (2010), pp ) M. E. A. El-Mikkawy and T. Sogabe, Notes on particular symmetric polynomials with applications, Appl. Math. Comput., 215 (2010), pp ) D. Aoto, E. Ishiwata and K. Abe, A variable preconditioned GCR method using the GSOR method for singular linear systems, J. of Comput. and Appl. Math., Vol. 234, No.2(2010), pp ) T. Miyata, Y. Yamamoto and S.-L. Zhang, Performance Modeling of Multishift QR Algorithms for the Parallel Solution of Symmetric Tridiagonal Eigenvalue Problems, (Proceeding) Lecture Notes in Computer Science, 6082 (2010), pp ) K. Aihara, E. Ishiwata and K. Abe, A strategy for reducing the inner iteration counts for the variable preconditioning GCR(m) method, JSIAM Letters, Vol. 2(2010), pp ) Y. Hirota, Y. Yamamoto and S.-L. Zhang, Cache optimization of a non-orthogonal joint diagonalization method, JSIAM Letters, Vol. 3(2011), pp ) A. Fukuda, Y. Yamamoto, M. Iwasaki, E. Ishiwata and Y. Nakamura, A Bäcklund transformation between two integrable discrete hungry systems, Physics Letters A, Vol. 375, No. 3 (2011), pp

28 招 待 講 演 : 1) T. Miyata, T. Sogabe and S.-L. Zhang, A Krylov Subspace Method for the Correction Equation in the Jacobi-Davidson Method, Numerical Linear Algebra Seminar, Gifu, Japan (May 7, 2010) 2) S.-L. Zhang, A. Imakura and T. Sogabe, GMRES(m) method with Look-Back-type restart for solving nonsymmetric linear systems, 7th International Conference on Scientific Computing and Applications, Dalian, China (Jun , 2010) 3) S.-L. Zhang, T. Miyata, L. Du, T. Sogabe and Y. Yamamoto, A Projection Approach Based on the Residue Theorem for Eigenvalues within a Multiply Connected Domain, The 2010 NIMS Conference & The Third China-Japan-Korea Joint Conference on Numerical Mathematics, Gangneung, Korea(Aug , 2010) 4) 宮 田 考 史 曽 我 部 知 広 張 紹 良 固 有 値 問 題 に 対 するJacobi-Davidson 法 について 線 形 計 算 研 究 会 ( 東 京 2010 年 9 月 21 日 ) 5) 張 紹 良 宮 田 考 史 固 有 値 計 算 分 野 においての 実 用 的 なニーズに 対 する 数 値 解 法 第 25 回 信 号 処 理 シンポジウム 奈 良 (2010 年 11 月 日 ) 6) S.-L. Zhang and T. Miyata, A Numerical Method for Solving the Eigenvalues in a Multiple Connected Domain, 2011 LHD Workshop, Beijing, China(Jan. 15, 2011) -20-

29 ナノ 構 造 形 成. 新 機 能 発 現 における 電 子 論 ダイナミクス Electronic-Structure-Theory-Based Dynamics in Formation and Properties of Nano-Structures 押 山 淳 1 宮 崎 剛 2 尾 崎 泰 助 3 岩 田 潤 一 4 土 田 英 二 A. Oshiyama, T. Miyazaki, T. Ozaki, J.-I. Iwata, E. Tsuchida 東 京 大 学 1 物 質 材 料 研 究 機 構 2 北 陸 先 端 科 学 技 術 大 学 院 大 学 筑 波 大 学 4 産 業 技 術 総 合 研 究 所 The University of Tokyo, NIMS, JAIST, University of Tsukuba, AIST はじめに 本 計 画 研 究 では 量 子 論 の 第 一 原 理 に 立 脚 した 実 空 間 アプローチとオーダーN 法 を 計 算 手 法 の 軸 にすえ 計 算 機 科 学 分 野 および 実 験 科 学 分 野 の 研 究 者 との 連 携 により ナノメートル スケールの 複 合 構 造 体 のナノ 形 状 と 電 子 機 能 の 複 合 相 関 を 明 らかにし また 物 質 創 成 の 場 における 非 平 衡 ダイナミクスの 解 明 により 新 機 能 を 有 するナノ 構 造 体 の 提 唱 を 行 うことを 目 的 としている 2. 概 要 実 空 間 アプローチは マルチコア 超 並 列 アーキテクチャに 適 した 計 算 手 法 であり 密 度 汎 関 数 理 論 に 基 づく 全 エネルギー 電 子 構 造 計 算 手 法 として すでに 我 々は RSDFT (Real Space Density Functional Theory)コードの 開 発 とその 高 度 化 を 計 算 機 科 学 分 野 との 共 同 で 進 めている[Iwata et al., J. Comp. Phys. 229, 2339 (2010) ] 今 年 度 は 岩 田 を 中 心 として RSDFT コードの 多 機 能 化 Car-Parrinello Molecular Dynamics(RSDFT) 手 法 との 結 合 さらには 固 有 値 問 題 の 新 たな 解 法 である 櫻 井 - 杉 浦 法 のコード 化 を 行 った またこの RSDFT の 応 用 として シリコン ナノワイヤーの 電 子 物 性 解 明 シリコン 表 面 での 炭 素 ナノワイヤーの 制 御 可 能 性 探 索 を 行 った 密 度 行 列 の 最 適 化 に 基 づく オーダーN 手 法 は 超 大 規 模 系 に 対 する 密 度 汎 関 数 理 論 計 算 を 可 能 にする 有 望 手 法 である そのひとつである CONQUEST コードは 宮 崎 を 中 心 に 開 発 されてきたが 今 年 度 は それをマルチコア 超 並 列 アーキテクチャにおいて 高 度 化 するとともに バイオ 系 での 有 用 性 を 調 べる 計 算 を 実 行 した 擬 ポテンシャル 局 在 基 底 法 は 計 算 精 度 と 計 算 コストのバランスのとれた 手 法 であり また 様 々な 物 理 量 を 計 算 する 上 で 拡 張 性 が 高 いという 利 点 を 有 している そのひとつで ある OpenMX コードは 尾 崎 を 中 心 に 開 発 されてきたが 本 年 度 はその 手 法 の 拡 張 を 行 った ひとつの 例 は 新 しい 固 有 値 解 法 の 提 唱 であり 従 来 手 法 と 比 べて サイズ N に 対 するより 低 次 のスケーリングを 示 すことを 実 証 した 第 一 原 理 分 子 動 力 学 計 算 における 位 相 空 間 の 探 索 を 効 率 化 するために 土 田 は 原 子 の 質 量 を 非 対 角 行 列 の 形 に 一 般 化 する 手 法 の 検 討 を 行 っている 本 年 度 はその 端 緒 的 成 果 が 得 られた 密 度 汎 関 数 理 論 の 適 用 物 質 範 囲 を 広 げる 試 みは 重 要 である それには より 量 子 効 果 を 取 り 入 れた 交 換 相 関 エネルギー 汎 関 数 を 構 築 することがひとつのアプローチである 本 年 度 我 々はハイブリッド 汎 関 数 を 導 入 し 半 導 体 絶 縁 体 のバンドギャップが 従 来 近 似 に 比 して より 実 験 値 に 近 い 値 を 生 み 出 すことを 見 出 した -21-

30 3. RSDFT コードの 高 度 化 と 応 用 計 算 RSDFT の 機 能 拡 張 については 本 年 度 (1) LDA GGA の 近 似 の 範 囲 でのスピン 密 度 汎 関 数 法 の 実 装 ( 押 山 研 究 室 古 家 真 之 介 研 究 員 担 当 ) (2) Car-Parinello 分 子 動 力 学 法 の 実 装 ( 重 田 Boero) (3) ウルトラソフト 擬 ポテンシャル 法 の 実 装 ( 内 田 ) (4) 対 称 性 の 利 用 の4 点 が 行 われた いずれも 一 通 りの 実 装 を 完 了 し 更 なるチューニング および 実 際 的 な 応 用 計 算 を 今 後 進 めていく 予 定 である RSDFT の 中 で 重 要 な 部 分 を 占 める 演 算 として 部 分 空 間 の 対 角 化 がある これは 大 規 模 計 算 において 計 算 量 のネックとなる 要 素 の 一 つである その 固 有 値 問 題 数 値 解 法 の 新 しいアルゴリズムの 探 索 を 本 年 度 開 始 し A01 高 橋 班 との 共 同 により 櫻 井 杉 浦 法 と 呼 ばれる 新 固 有 値 解 法 アルゴリズムの RSDFT への 適 用 可 能 性 について 研 究 を 行 った 櫻 井 杉 浦 法 は 固 有 値 を Green 関 数 の 極 として 固 有 ベクトルをその 留 数 とし て 取 り 出 す 方 法 であり 複 素 平 面 上 でのエネルギーに 関 する 周 回 積 分 を 数 値 的 に 実 行 す ることでこれを 実 現 する 現 在 RSDFT 上 での 実 装 を 完 了 し 1000 原 子 程 度 の 系 の Kohn-Sham 方 程 式 を 自 己 無 憧 着 に 解 くテスト 計 算 に 成 功 している これらのテストを 通 じ 櫻 井 杉 浦 法 を 用 いれば 注 目 するエネルギー 領 域 ( 例 えばフェルミレベル 近 傍 )だ けを 抜 き 出 してバンド 計 算 状 態 密 度 計 算 が 可 能 であること 複 素 周 回 積 分 の 積 分 路 を 少 数 の 固 有 値 のみを 囲 むように 取 ることで 各 積 分 路 について 完 全 に 並 列 化 が 可 能 であ ることなどを 見 出 し 今 後 の 超 高 並 列 計 算 機 に 適 したアルゴリズムとして 非 常 に 有 望 で あることがわかった シリコン ナノワイヤー 型 電 界 効 果 トランジスター(SiNW-FET)は スケーリング 則 が 行 き 詰 った 半 導 体 テクノロジーにおいて 次 世 代 デバイスへの 突 破 口 と 目 されてい るナノ 構 造 である それはワイヤー 状 のゲート 構 造 によるオフ 時 のリーク 電 流 の 軽 減 と ナノスケールのゲート 長 形 成 によるバリスティック 伝 導 トランジスター 作 用 の2 点 に 起 因 している そうした SiNW-FET のデバイス 設 計 においては ワイヤー 軸 方 向 の 選 択 ワイヤー 断 面 形 状 の 最 適 化 が 重 要 であり 予 測 能 力 の 高 い 計 算 が 望 まれている 本 年 度 我 々は RSDFT コードにより 断 面 スケール 3nm から 6nm 程 度 の 様 々な SiNW について 系 統 的 な 計 算 を 実 行 し (100) 軸 SiNW では 有 効 質 量 が 増 加 するのに 対 し (110) 軸 SiNW では 逆 に 減 少 することを 見 出 した またバリスティック レジームで 重 要 に なる 伝 導 チャネル 数 を 系 統 的 に 計 算 した 図 1: 様 々な 断 面 形 状 の[110]-SiNW 濃 灰 色 薄 灰 色 のボールが Si 原 子 水 素 原 子 を 表 している (a) 直 径 4.3 nm の 円 形 断 面 ワイヤー (b) [001]- 楕 円 ワイヤー (c) [001]- ダンベル ワイヤー (d) [1-10]- 楕 円 ワイヤー (e) [1-10]-ダンベル ワイヤー 図 1は 一 例 として 4 6 nm スケールの 様 々な 断 面 形 状 の (110) 方 向 を 軸 としてもつ SiNW が 示 してある ワイヤーの 側 面 は ある 種 の 実 験 に 対 応 して 水 素 で 終 端 された 場 合 を 考 えている これはワイヤー 原 子 構 造 として 理 想 的 な 状 況 に 対 応 し 量 子 サイズ 効 果 に 的 を 絞 った 計 算 とみなせる 図 1(b)と(d)は 楕 円 断 面 であるが その 長 軸 方 向 は -22-

31 非 等 価 な 結 晶 軸 を 向 いているので 異 なるワイヤーである また 図 1(c)と(e)も 同 様 に 互 いに 非 等 価 なダンベル 断 面 形 状 をもつワイヤーである 図 2: 図 1の(a)-(e)で 示 した[110]-SiNW のエネルギー 帯 上 パネルが 伝 導 帯 下 パネル が 価 電 子 帯 伝 導 帯 の 最 低 点 と 第 二 最 低 点 とのエネルギー 差 を 図 中 に 示 す 図 2は 図 1で 示 した 各 SiNW のエネルギー 帯 構 造 である 伝 導 帯 の 最 低 点 はいずれ のワイヤーでも ブリルアン 域 の 中 心 (Γ 点 )に 位 置 している 第 二 最 低 点 は 域 境 界 との 途 中 に 位 置 し 最 低 点 よりのエネルギー 差 E shift は 量 子 閉 じ 込 め 効 果 によって 決 ま り ワイヤー 形 状 サイズによって 異 なる Γ 点 での 最 低 点 は もともとの Si 結 晶 の 伝 導 帯 バレーの 内 [001] [00-1] 方 向 に 位 置 する2つのバレーに 起 因 し 一 方 第 二 最 低 点 は [010]および[100] 方 向 に 位 置 する2つのバレーに 起 因 している 従 っていずれの 最 低 点 も 二 重 に 縮 退 している E shift は 図 1(b)の[001]- 楕 円 ワイヤーが 最 も 小 さいことが わかった これは 量 子 閉 じ 込 め 効 果 による ワイヤー 垂 直 面 内 の 定 在 波 の 波 数 が 断 面 形 状 によって 異 なることに 起 因 している これより [001]- 楕 円 断 面 形 状 の[110]-SiNW が その 低 バイアス 下 でのチャネル 数 の 多 さにより FET に 最 適 であることが 予 想 され る また 今 回 の 計 算 により [110]-SiNW では 有 効 質 量 がバルクの 値 より 軽 くなること もわかった 今 後 さらに 実 デバイスサイズ(~10 nm)で かつ 実 プロセス 等 によるラフな 側 面 をもつナノワイヤーの 電 子 状 態 を 明 らかにし 得 られた 結 果 とコンパクトモデルを 利 用 して シリコンナノワイヤのバリスティックなトランジスタ 特 性 ( 電 流 電 圧 特 性 )の 評 価 を 行 う 4. 密 度 行 列 最 適 化 法 によるオーダーN 法 (CONQUEST)の 開 発 とバイオ 系 への 応 用 生 体 系 に 対 するこれまでの 理 論 研 究 は 古 典 力 場 を 用 いた 研 究 がほとんどであるが 計 算 量 が 少 ないという 利 点 の 代 償 として 結 果 が 力 場 のパラメータに 強 く 依 存 し また 化 学 結 合 の 切 断 や 再 結 合 を 表 すことは 不 可 能 に 近 い このため 化 学 結 合 の 状 態 が 変 化 するような 重 要 な 領 域 に 対 してのみ 量 子 論 (QM)にもとづいた 手 法 を 用 い それを 囲 む 領 域 は 古 典 力 場 で 扱 う(MM)という QM/MM 法 なども 適 用 されているが これらの 手 法 には 領 域 の 設 定 (QM 領 域 のサイズ 境 界 の 扱 い 境 界 の 更 新 等 )に 問 題 がある 場 合 がある オーダーN 法 第 一 原 理 計 算 手 法 は 巨 大 な 領 域 に 対 して 全 原 子 第 一 原 理 計 算 を 可 能 とするので これらの 問 題 を 解 決 することが 期 待 され 生 体 系 はそのオーダーN 法 第 一 原 理 計 算 の 適 用 が 望 まれる 重 要 な 分 野 の 一 つである 本 年 度 は 生 体 系 に 対 するオーダーN 法 第 一 原 理 計 算 として ジヒドロ 葉 酸 還 元 酵 素 (DHFR)とイオンチャネル gramicidin A(GA)の 系 を 扱 った この 二 つの 系 に 対 して オーダーN 法 第 一 原 理 計 算 による 本 格 的 な 理 論 研 究 を 行 うための 準 備 計 算 と 生 体 系 へ -23-

32 図 3 水中の DHFR の系 16, 042 原子 に対し てオーダーN 法第一原 理計算によって得られ た電荷密度分布図 図 4 オーダーN 法第一原理 計算でセルフコンシステン ト計算を行った イオンチャ ネル gramicidin A の系 約 15,500 原子 の応用計算に必要な手法の整備 開発を行った 具体的には 1 実験結果と古典分子 動力学により 計算する系を構築 2 生体系のような複雑系での我々のプログラムの 並列化効率を調べ 実際に大規模計算を実現すること 3 セルフコンシステント計算 を安定 効率的に行う手法の確立 4 計算された電荷密度の解析 可視化方法の開発 を行った DHFR に関しては 実験結果から得られる構造の周辺に水分子を加えた後に 古典分子動力学を行うことによって いくつかの構造を作成した この際に 水分子の 配置を均等にすると同時に DHFR の構造が実験構造と大きく異ならないようにするた めには DHFR と dihydrofolate や NADPH 分子 を構成する原子の動きに適切な束縛 条件を考慮しなければならないことが分かった 得られた構造に対してオーダーN 法第 一原理計算を適用した結果 セルフコンシステント計算が安定して行える事も分かった 図3 さらに 密度行列最適化のステップとセルフコンシステントな電荷密度を得 るためのステップという二つのステップを同時に行うことにより より高速かつ安定し た計算が可能であることが分かった また DHFR と Folate の合体系と孤立系との差電 荷密度を求めることによって 相互作用によって変化を受けやすい部位の解析が行える 事を確認した DHFR による酵素反応の様々な過程に対する計算は次年度以降に行う事 を予定している また gramicidin A の系に関しても イオンチャネル GA を囲む脂質二重膜 さらに この膜の上下の水の領域を含んだ複雑系 図 4 約1万6千原子系 に対して セルフ コンシステント第一原理計算を実現することに成功した この際に 密度行列を表すた めの局在軌道 サポート関数 は部分系に対する第一原理計算を用いて最適化すること 24

33 によって 得 ている この 手 法 の 精 度 を 調 べ 全 体 系 の 計 算 において 各 原 子 に 働 く 力 を 計 算 した 本 格 的 な 計 算 や 詳 細 な 解 析 は 今 後 行 う 5. 低 次 の 計 算 オーダーを 有 する 新 しい 固 有 値 解 法 の 開 発 我 々が 開 発 してきた OpenMX コードは 擬 ポテンシャル 局 在 基 底 法 であり 計 算 精 度 と 計 算 コストのバランスがとれた 手 法 である 平 成 22 年 度 は OpenMX のさらな る 高 精 度 大 規 模 計 算 への 拡 張 に 向 けて 近 似 を 導 入 することなしに 低 次 の 計 算 オーダー を 持 つ 新 しい 対 角 化 手 法 の 開 発 に 成 功 した Elapsed Time (sec.) D 2D 3D 1D (fitted) 2D (fitted) 3D (fitted) O(N 2.35 ) O(N 1.90 ) O(N 0.90 (log 2 N) 2 ) Norm of residual Conventional ( ) New method ( ) Number of Atoms SCF step 図 5: 逆 行 列 の 計 算 時 間 図 6:DNA 分 子 の SCF 計 算 Car-Parrinello 法 の 発 展 を 契 機 に 第 一 原 理 密 度 汎 関 数 計 算 の 大 規 模 系 への 適 用 限 界 を 拡 張 するために 非 常 に 多 くの 対 角 化 計 算 手 法 がこれまでに 開 発 されている これらの 対 角 化 手 法 は(i) 共 役 勾 配 法 や Davidson 法 などの 繰 り 返 し 計 算 に 基 づくものと (ii) 近 似 を 導 入 して 計 算 量 を O(N)に 低 減 したオーダーN 法 に 大 別 される 前 者 においては 与 えられた Kohn-Sham ハミルトニアンに 対 して 近 似 を 導 入 することなしに 固 有 状 態 の 計 算 を 行 うが 占 有 軌 道 間 の 直 交 条 件 を 保 持 する 計 算 に 対 して O(N 3 )の 計 算 量 が 必 要 と なる その 中 間 に 位 置 する O(N 2~ ) 法 の 開 発 はこれまであまり 行 われていないが 近 似 を 導 入 することなしに 計 算 オーダーが 低 減 できるならば O(N 3 ) 法 に 代 わる 手 法 として 興 味 の 持 たれる 所 である 今 回 擬 原 子 局 在 基 底 や 有 限 要 素 法 などの 局 在 基 底 関 数 の 場 合 に 対 して 近 似 を 導 入 することなしに 低 い 計 算 オーダーを 持 った 対 角 化 手 法 の 開 発 に 取 り 組 んだ そのアイデアは 留 数 積 分 によって 直 接 密 度 行 列 を 計 算 するというもので あり 収 束 に 必 要 な 極 の 数 が 系 のサイズに 依 存 しないことを 示 すことができる 主 要 な 計 算 は 疎 行 列 の 逆 行 列 計 算 (グリーン 関 数 の 計 算 )となり ここで 計 算 オーダーが 支 配 さ れる 我 々は 逆 行 列 要 素 を 計 算 するために 行 列 の Nested Dissection(ND) 法 と Shur 補 元 を 用 いて 漸 化 式 を 導 出 し これを 用 いて 必 要 な 逆 行 列 要 素 を 求 める 新 しい 計 算 手 法 を 開 発 した この 漸 化 式 に 基 づく 逆 行 列 計 算 法 の 計 算 オーダーは 3 次 元 系 で O(N 7/3 ) 2 次 元 系 で O(N 2 ) 1 次 元 系 で O(N(log 2 N) 2 )であり 近 似 を 導 入 することなしに 計 算 オーダーを 低 減 することが 可 能 となった 理 論 的 解 析 から 得 られた 計 算 オーダーが 実 際 の 計 算 でも 成 り 立 っていることを 確 認 するため 隣 接 強 結 合 モデルで 記 述 された 一 次 元 系 ( 直 鎖 分 子 ) 二 次 元 系 ( 正 方 格 子 ) 三 次 元 系 ( 立 方 格 子 )に 対 して 逆 行 列 の 計 算 時 間 の 実 測 を 行 った( 図 5) 実 線 は 実 測 値 へ のフィッティングから 得 られたものであり 実 測 の 計 算 オーダーは 3 次 元 系 で O(N 2.35 ) 2 次 元 系 で O(N 1.90 ) 1 次 元 系 で O(N 0.90 (log 2 N) 2 )と 見 積 もることが 出 来 る この 結 果 は 理 論 値 と 良 く 一 致 しており 実 測 値 からも 低 次 スケーリングが 確 認 された 次 に 本 手 法 が 数 値 厳 密 であることを 実 証 するために 有 限 数 の 極 (80 極 )で DNA の SCF 計 算 を 行 っ たものが 図 6である 従 来 法 と 比 較 し 収 束 特 性 は 同 一 であり また 得 られた 全 エネル -25-

34 ギーは 13 桁 一 致 している 本 手 法 で 用 いられている 留 数 積 分 は 100 極 程 度 で 倍 精 度 限 界 まで 収 束 する 手 法 であり 例 え 有 限 数 の 極 を 用 いたとしても 本 手 法 は 数 値 厳 密 な 手 法 であると 言 える 各 極 に 対 する 計 算 は 独 立 に 実 行 できるため 本 手 法 は 並 列 計 算 に 適 した 手 法 である 我 々は 本 手 法 を OpenMP と MPI を 用 いてハイブリッド 並 列 化 した 速 度 向 上 率 を 図 7に 示 す 160 プロセス 4スレッドを 用 いた 速 度 向 上 率 はおよそ 350 倍 である 通 常 の 対 角 化 法 と 比 較 し 大 幅 な 並 列 効 率 の 向 上 が 得 られているが 今 後 さらに 並 列 化 法 を 工 夫 することで 並 列 化 効 率 の 向 上 が 必 要 である Speed up Ratio thread 2 threads 4 threads Conventional (1 thread) 3.76 sec sec Number of Processes 図 7:OpenMP/MPI ハイブリッド 並 列 による 速 度 向 上 比 図 8: 本 手 法 (MTMD)と 従 来 の 方 法 (REF) による 酸 素 間 の 動 径 分 布 関 数 の 比 較 6. 一 般 化 された 質 量 を 用 いた 第 一 原 理 MDの 高 速 化 第 一 原 理 分 子 動 力 学 計 算 を 行 う 場 合 には 常 に 計 算 の 負 荷 が 非 常 に 高 いという 難 点 が あり 高 速 化 するような 手 法 が 強 く 望 まれている 本 年 度 は 原 子 の 質 量 を 一 般 化 し 有 限 温 度 における 位 相 空 間 の 探 索 を 効 率 化 するようなアルゴリズムについて 研 究 を 進 めた 通 常 原 子 の 質 量 は 原 子 の 座 標 に 依 存 しない 対 角 型 の 行 列 で 与 えられるが この 方 法 では 原 子 座 標 に 依 存 するような 非 対 角 行 列 に 拡 張 する 即 ち ハミルトニアンは 以 下 の 式 で 表 せる: 1 1 H ( q, p) = p M i ij ( q) p j + U ( q) 2 i, j この 行 列 Mの 成 分 を 古 典 的 な 力 場 で 近 似 することで 位 相 空 間 が 等 方 的 になるような 変 換 を 行 うことができる この 際 力 場 のパラメータについては 第 一 原 理 計 算 の 結 果 か ら Force Matching 法 を 用 いてフィッティングを 行 った また 運 動 方 程 式 の 数 値 積 分 についても 効 率 の 良 い 方 法 を 開 発 した 液 体 の 水 (64 分 子 系 )について 検 証 を 行 い アンサンブル 平 均 を 不 変 に 保 ちつつ3 倍 程 度 の 高 速 化 を 実 現 できることを 示 した この 他 にも 座 標 に 依 存 しない 範 囲 で 最 適 な 原 子 質 量 を 見 積 もる 方 法 について 提 案 した ま た 元 のハミルトニアンに 対 応 するダイナミクスを 復 元 する 可 能 性 についても 幾 つか 議 論 した 本 手 法 は 特 に 平 衡 状 態 における 平 均 構 造 や 自 由 エネルギー 計 算 において 有 用 である と 期 待 できる また オーダーN 法 のような 電 子 状 態 計 算 を 高 速 化 するような 手 法 とも 両 立 することが 可 能 である 7. 連 携 研 究 者 研 究 協 力 者 連 携 研 究 者 : 重 田 育 照 ( 大 阪 大 学 基 礎 工 学 研 究 科 准 教 授 ) 内 田 和 之 ( 東 京 大 学 工 学 系 研 究 科 助 教 ) -26-

35 平 山 博 之 ( 東 京 工 業 大 学 総 合 理 工 学 研 究 科 教 授 ) 研 究 協 力 者 : D. R. Bowler (University College London, Reader) M. Boero (University of Strasbourg, Professor) 8. 本 研 究 課 題 における 平 成 22 年 度 の 発 表 論 文 と 招 待 講 演 発 表 論 文 1) J.-I. Iwata, A. Oshiyama adn K. Shiraishi, ``Large-Scale First-Principles Electronic Structure Calculations for Silicon Nanostructures" Proc. 30th Int. Conf. Physics on Semiconductros (Seoul, July 26-30, 2010). 2) Y. Gohda and A. Oshiyama, ``First-principles calculations on spin polarization of vacancies in nitride semiconductors" Proc. 30th Int. Conf. Physics on Semiconductros (Seoul, July 26-30, 2010). 3) T. Kurita, K. Uchida and A. Oshiyama, ``Atomic and Electronic Structures of α-al2o3 Surfaces", Phys. Rev. B 82, (2010). 4) K. Uchida and A. Oshiyama, ``Structure, spin, and charge of decavacancy V10 in crystalline silicon" Proc. 30th Int. Conf. Physics on Semiconductros (Seoul, July 26-30, 2010). 5) D. Sung, J.-I. Iwata, ``Structural stability and energy bands of Si nanowires along [110] direction" Proc. 30th Int. Conf. Physics on Semiconductros (Seoul, July 26-30, 2010). 6) M. P. Sena, T. Miyazaki and D. R. Bowler, Linear Scaling Constrained Density Functional Theory in CONQUEST, Journal of Chemical Theory and Computation, 印 刷 中 (Mar 7, 2011, on web) 7) M. Toyoda and T. Ozaki, "Fast spherical Bessel transform via fast Fourier transform and recurrence formula", Comp. Phys. Comm. 181, 277 (6 pages) (2010). 8) T. Ozaki, K. Nishio, H. Weng, and H. Kino, "Dual spin filter effect in a zigzag grapheme nanoribbon", Phys. Rev. B 81, (5 pages) (2010). 9) M.J. Han, T. Ozaki, and J. Yu, "Effect of on-site Coulomb interactions on the electronic structure and magnetic property of Gd2 cluster", Chem. Phys. Lett. 492, (2010). 10) M. Toyoda and T. Ozaki, "LIBERI: Library for numerical evaluation of electron-repulsion integrals", Comp. Phys. Commun. 181, (2010). 11) K. Nishio, T. Ozaki, T. Morishita, and M. Mikami, "Tunable electronic transport properties of silicon-fullerene-linked nanowires: Semiconductor, conducting wire, and tunnel diode", Phys. Rev. B 81, (11 pages) (2010). 12) T. Ozaki, "Efficient low-order scaling method for large-scale electronic structure calculations with localized basis functions", Phys. Rev. B 82, (17 pages) (2010). 13) G. Otero, G. Biddau, T. Ozaki, B. Gomez-Lor, J. Mendez, R. Perez, and J.A. Martin-Gago, "Spontaneous Discrimination of Polycyclic Aromatic Hydrocarbon (PAH) Enantiomers on a Metal Surface", Chem. -A. Euro. J. 16, (2010). 14) E. Tsuchida, Ab initio mass tensor molecular dynamics, J.Chem.Phys. 134, (2011). 招 待 講 演 1) A. Oshiyama, Current Status of Density-Functional-Theory-Based Calculations for Nanoand Bio-Materials, Int. Sympo. Nanoscience and Quantum Physics 2011 (Tokyo, January 26-28, 2011). 2) T. Ozaki, "Numerically exact low-order scaling method for large-scale electronic structure calculations", Psi-k 2010 Conference, Henry Ford Building, Berlin, Sept , ) 尾 崎 泰 助, "Localized basis method in OpenMX", 第 17 回 コンピュテーショナル マテ リアルズ デザイン (CMD )ワークショップ, 大 阪 大 学 サイバーメディアセンター, 2010 年 9 月 6 日 -10 日. -27-

36 第 一 原 理 分 子 動 力 学 法 による 構 造 サンプリングと 非 平 衡 ダイナミクス First-Principles Calculation of Structure Sampling and Non-Equilibrium Dynamics 常 行 真 司 1, 吉 本 芳 英 2, 山 内 淳 3, 大 谷 実 S. Tsuneyuki, Y. Yoshimoto, J. Yamauchi, M. Otani 東 京 大 学 1, 鳥 取 大 学 2, 慶 應 大 学 3, 産 業 技 術 総 合 研 究 所 The University of Tokyo 1, Tottori University 2, Keio University 3, AIST 原 子 間 相 互 作 用 の 非 調 和 性 が 本 質 的 に 重 要 となる 大 きな 原 子 変 位 を 伴 う 非 平 衡 物 理 現 象 の 予 測 とダイナミクスの 解 明 を 目 指 し 平 面 波 基 底 第 一 原 理 分 子 動 力 学 法 プログラムの 高 速 化 を 目 指 したアクセラレータ(Grape-DR)の 利 用 第 一 原 理 非 調 和 格 子 モデルの 開 発 と 熱 伝 導 計 算 への 応 用 有 効 遮 蔽 媒 質 法 (ESM 法 )の 拡 張 を 行 った またシリコン 結 晶 中 のホウ 素 欠 陥 の XPS スペクトル 計 算 窒 化 物 / 硼 化 物 界 面 の 構 造 と 電 子 物 性 計 算 を 行 った 1. はじめに 本 研 究 グループでは 次 世 代 半 導 体 デバイスや 熱 電 素 子 電 池 等 エネルギー 変 換 素 子 への 応 用 を 念 頭 に 第 一 原 理 分 子 動 力 学 法 を 用 いてナノ 構 造 体 や 新 材 料 の 熱 科 学 の 解 明 を 目 的 とする 具 体 的 には 材 料 およびナノ 構 造 体 の 熱 伝 導 度 熱 膨 張 率 熱 破 壊 の 前 駆 現 象 固 液 相 変 化 とナノスケールでの 相 関 や 揺 らぎ 分 子 固 体 中 や 分 子 / 電 極 界 面 での 電 子 移 動 による 再 配 置 エネルギーと 電 子 移 動 度 など 原 子 間 相 互 作 用 の 非 調 和 性 が 本 質 的 に 重 要 となる 大 きな 原 子 変 位 を 伴 う 非 平 衡 物 理 現 象 の 予 測 と ダイナミクスの 解 明 を 目 指 す 上 記 のような 物 理 量 を 意 味 のある 統 計 量 として 計 算 し 物 理 現 象 を 正 しく 理 解 予 測 するためには これまでにない 大 規 模 かつ 長 時 間 のシミュレーションと 統 計 的 なサンプ リングが 必 要 であり これを 第 一 原 理 分 子 動 力 学 法 だけで 達 成 することは ペタフロッ プス 級 の 次 世 代 スパコンをもってしても 不 可 能 である そこで 本 研 究 では 平 面 波 基 底 関 数 を 用 いた 第 一 原 理 計 算 コードを 高 速 化 して 長 時 間 シミュレーションを 実 現 し 各 種 構 造 計 算 動 力 学 計 算 に 適 用 する また 比 較 的 短 時 間 の 第 一 原 理 分 子 動 力 学 法 シミュ レーションを 用 いて 原 子 間 相 互 作 用 の 有 効 モデルを 導 出 し それを 高 速 な 古 典 分 子 動 力 学 法 に 適 用 することによって 必 要 とされる 長 時 間 シミュレーションを 達 成 する 手 法 を 開 発 する 2. 平 面 波 基 底 第 一 原 理 計 算 プログラム xtapp の 開 発 ( 吉 本 ) 物 性 物 理 学 の 父 母 は 量 子 力 学 と 統 計 力 学 である そのため 第 一 原 理 電 子 状 態 計 算 に よる 構 造 サンプリングが 自 由 に 行 えるようにすることは 大 変 重 要 である しかしながら 第 一 原 理 電 子 状 態 計 算 のコストは 大 変 大 きいため 構 造 の 多 様 性 が 重 要 になってくる 数 百 原 子 以 上 の 系 を 経 済 的 な 問 題 なく 自 由 に 扱 うことは 困 難 である 計 算 機 の 経 済 性 を 向 上 させる 要 素 としてアクセラレータなどが 開 発 されており したがってこれらの 技 術 へ の 対 応 を 進 める 必 要 がある そこで 吉 本 は A02 の 稲 葉 班 の 協 力 を 得 て 物 性 における 第 一 原 理 電 子 状 態 計 算 の 標 準 的 枠 組 み( 平 面 波 基 底 + 密 度 汎 関 数 法 + 擬 ポテンシャル) を 実 行 するプログラムパッケージ xtapp を 稲 葉 班 で 開 発 されたアクセラレータ -28-

37 Grape-DR を 使 えるように 改 変 した この 開 発 の 狙 いは その 能 力 がよくわかっている 平 面 波 基 底 第 一 原 理 電 子 状 態 計 算 プ ログラムをたたき 台 として 計 算 科 学 と 計 算 機 科 学 の 間 の 対 話 を 実 際 に 行 い 課 題 を 認 識 することで A03 今 田 班 が 開 発 している 他 の 第 一 原 理 的 手 法 などさらに 計 算 機 資 源 を 必 要 とするその 他 の 手 法 を 経 済 的 に 実 行 できるようにする 土 台 作 りをすることにある 吉 本 は 4 chip モデルの Grape-DR を 稲 葉 班 から 借 受 け まずは Grape-DR システムを 構 築 することから 始 めた ( 図 1)その 結 果 判 明 したことは 計 算 機 科 学 の 研 究 の 成 果 である Grape-DR を 計 算 科 学 側 でシステムが 正 常 に 動 作 するようにするだけでも 想 定 以 上 の 人 的 コストが 必 要 になることである 具 体 的 に 発 生 したトラブルの 中 で 大 きな 物 に Grape-DR のボードの firm ware が 稲 葉 班 の 認 識 とは 異 なるものであること 判 明 するのに 時 間 がかかったことがある 結 局 計 算 科 学 側 で 状 況 証 拠 の 積 み 上 げを 行 った 上 でボードを 鳥 取 から 東 京 に 持 ち 込 み 検 証 する ことで 問 題 の 存 在 を 証 明 して 解 決 することができた 問 題 解 決 に 必 要 であったことをまとめると (1) 正 しい 情 報 を 入 手 すること(2) 計 算 科 学 側 でのシステム 動 作 の 解 析 (3) 現 物 の 確 認 である Grape-DR のようにそれ 自 体 が 研 究 の 対 象 物 であるものを 用 いて 研 究 をする 場 合 (A) 連 絡 を 密 にすること (B) 実 物 を 共 有 し 動 くところまで 指 導 すること の 二 点 が 重 要 であるとまとめ 直 すこともで きる このことは 計 算 機 科 学 の 成 果 物 を 計 算 科 学 が 利 用 する 場 合 だけではなく その 逆 に 計 算 科 学 の 課 題 を 計 算 機 科 学 に 持 ち 込 む 場 合 にも 同 様 に 成 り 立 っているであろう 正 常 に 動 作 するようになった Grape-DR システムへの xtapp の 移 植 においては その プログラムの 構 成 において 計 算 負 荷 が 大 きい 部 分 を 数 理 的 な 構 造 ( 行 列 積 など)に 着 目 して 取 り 替 え 可 能 なモジュールとして 抽 出 する 作 業 が 役 立 った ここでいうところの 行 列 積 構 造 を 取 り 出 すときに 具 体 的 に 必 要 となるのは 角 運 動 量 など 短 い 添 字 をその 親 である 原 子 の 番 号 と 合 併 して 一 つの 長 い 添 字 を 構 成 することで ある このようにコードの 構 造 をまとめ 直 すことで アクセラレータなどを 活 用 する 上 で 有 利 な 数 理 構 造 である 行 列 積 構 造 を 取 り 出 すことができる Grape-DR には 付 属 の DGEMM ライブラリが 用 意 されているので これを 用 いてこの 行 列 積 の 数 理 構 造 を 担 当 するモジュールを 作 成 し xtapp の Grape-DR 対 応 化 を 行 った 火 成 岩 の 一 種 Pyrope の 640 原 子 系 を 用 いたベンチマークの 結 果 を 表 1に 示 す 実 行 環 境 は Core i7 960 である Core i7 とあるのは 行 列 積 相 当 の 部 分 の 実 行 に CPU を 用 いた もの そのうち MKL とあるのは 数 値 演 算 ライブラリ MKL の DGEMM を 用 いてこれを 実 行 させたもの hand opt とあるものは 行 列 積 相 当 の 部 分 を 吉 本 が 手 で 書 いたもので ある なお MKL や Grape-DR を 使 うためにはデータ 形 状 の 変 更 のためメモリが 余 分 に 必 要 になるが 直 接 書 く 場 合 には 必 要 ない 表 1 図 1 Grape-DR DGEMM Core i7 MKL DGEMM Core i7 hand opt 行 列 積 [s] 比 FFT [s] 固 有 系 [s] その 他 [s] 合 計 [s] 比 hand opt と MKL DGEMM の 結 果 の 比 較 から 行 列 積 構 造 を 取 り 出 した 上 でそれを -29-

38 DGEMM で 処 理 することで 相 当 に 高 速 化 することがわかる そして Grape-DR を 用 いる とそこからさらに2 倍 高 速 化 する このように コードの 構 造 を 計 算 機 科 学 的 な 意 味 での DGEMM にしておくことは Grape-DR が 使 えるようになるのみならず ホストの CPU そのものをうまく 扱 うことに つながっている また GPGPU においても 高 性 能 な DGEMM ライブラリは 入 手 可 能 であ るから GPGPU を 活 用 できるようにもなっているはずである 一 方 で Grape-DR と Core i7 の 最 大 演 算 能 力 の 差 は 10 倍 以 上 あるはずであるが 実 際 の 行 列 積 部 分 の 差 は3 倍 にとどまっており Grape-DR の 実 効 性 能 に 課 題 があることが わかる また Grape-DR のデータにおいて 行 列 積 以 外 の 部 分 に 必 要 時 間 な 時 間 は 行 列 積 にかかる 時 間 に 比 べて 小 さくはない このことはプログラム 全 体 のさらなる 高 速 化 には その 他 の 部 分 の 高 速 化 を 併 せて 行 う 必 要 があることを 示 している 3. 第 一 原 理 非 調 和 格 子 モデルの 導 出 と 熱 伝 導 計 算 ( 常 行 ) 格 子 熱 伝 導 率 の 第 一 原 理 計 算 は 新 規 材 料 設 計 を 行 う 上 でその 重 要 性 を 増 している 例 えば 高 効 率 の 熱 電 変 換 材 料 を 探 索 する 際 にはその 性 能 指 数 ZT が 指 針 となるが この 値 を 理 論 的 に 評 価 するためには 格 子 熱 伝 導 率 の 高 精 度 計 算 が 必 要 になる 格 子 欠 陥 や 表 面 界 面 および 複 雑 なナノ 構 造 を 持 つ 物 質 の 格 子 熱 伝 導 率 を 計 算 するには 広 く 用 いられてきた Boltzmann 方 程 式 よりも 非 平 衡 分 子 動 力 学 (NEMD) 法 が 適 している この 手 法 では 系 の 両 端 に 温 度 差 を 設 け その 際 に 系 に 生 じる 温 度 勾 配 と 熱 流 から Fourier の 法 則 に 従 って 熱 伝 導 率 を 評 価 する ただし NEMD と 第 一 原 理 分 子 動 力 学 との 組 み 合 わせは 計 算 コスト 的 に 難 しく 特 定 の 原 子 種 に 対 して 良 い 近 似 となる 古 典 的 なモデル ポテンシャルを 用 いた 計 算 に 限 られていた この 原 子 種 に 関 する 制 限 をなくし 任 意 のナノ 構 造 を 持 つ 任 意 の 物 質 の 熱 伝 導 度 を 決 定 するため 我 々は 格 子 熱 伝 導 率 を 第 一 原 理 的 に 評 価 する 新 たな 計 算 手 法 の 開 発 を 行 っ た この 手 法 では NEMD を 行 う 際 に 用 いる 原 子 間 ポテンシャルとして 第 一 原 理 に 基 づく 非 調 和 格 子 モデル[1]を 用 いる これは 全 系 のポテンシャルエネルギーV を 各 原 子 の 平 衡 位 置 からの 変 位 { u i } に 関 して Taylor 展 開 を 行 い 熱 伝 導 率 に 本 質 的 な 4 次 の 非 調 和 項 まで 含 めたものである V V0 + Φ uu + Φ uu u + Φ uu u u 2 3! 4! ij i j ijk i j k ijkl i j k l i, j i, j, k i, j, k, l ここで i,j,k,l は 原 子 の 番 号 と x,y,z 座 標 を 表 すインデックスである 展 開 係 数 Φ, Φ, Φ ij ijk ijkl には 系 の 対 称 性 によってさまざまな 制 限 が 課 せられるが 欠 陥 構 造 などにも 使 える 汎 用 性 を 重 視 して 最 小 限 の( 自 明 な) 制 限 だけにとどめる 和 は 原 子 間 距 離 があるカット オフ 以 下 のものについてとる 原 子 が 結 晶 格 子 の 平 衡 点 近 傍 で 動 く 限 りにおいて 上 記 のモデルポテンシャルは 極 め て 汎 用 性 の 高 いものである モデルパラメータである 展 開 係 数 Φ, Φ, Φ を 決 定 する ij ijk ijkl に 当 たっては まず 有 限 温 度 の 第 一 原 理 分 子 動 力 学 計 算 を 短 時 間 ( 数 ps 程 度 ) 実 行 し 原 子 変 位 の 時 間 発 展 { ui ( t)} と 原 子 に 働 く 力 { Fi ( t)} の 時 系 列 を 得 る. 次 に 第 一 原 理 計 算 で 得 られた 力 が 上 記 のモデルポテンシャル V の 座 標 微 分 を 使 って 最 も 良 く 再 現 される ように 最 小 自 乗 法 で 展 開 係 数 を 決 定 する その 際 系 の 対 称 操 作 を 用 いて 変 位 と 力 の データを 複 製 して 利 用 しすることで 系 の 対 称 性 を 反 映 した 展 開 係 数 を 得 ることができ る モデルポテンシャルは 展 開 係 数 について 線 形 であるため これは 線 形 最 小 自 乗 法 の 問 題 となり 安 定 して 解 くことができる -30-

39 シリコンとダイヤモンドに 対 して 本 手 法 を 適 用 し 格 子 熱 伝 導 率 の 評 価 を 行 った そ の 結 果 システムサイズの 増 加 に 伴 って 熱 伝 導 率 が 非 線 形 に 増 加 する 準 バリスティッ ク 領 域 に 特 徴 的 な 振 る 舞 いが 見 られた( 図 2) またシリコンのほうが 非 調 和 効 果 が 大 きく 熱 伝 導 度 が 桁 違 いに 低 いことが 確 認 された ただし 現 状 の 非 調 和 格 子 モデルは 高 温 シミュレーションで 不 安 定 になるため パラメータ 決 定 法 やモデルの 高 精 度 化 などを 検 討 中 である 図 2. 非 平 衡 分 子 動 力 学 法 で 計 算 されたシリコンとダイヤモンドの 熱 伝 導 度 のシステム サイズ Lz に 対 する 依 存 性 4. シリコン 結 晶 中 のホウ 素 欠 陥 の XPS スペクトル 計 算 ( 山 内 ) シリコン 結 晶 中 の 不 純 物 元 素 の 原 子 形 態 に 関 する 知 見 は 基 礎 科 学 上 の 興 味 だけにと どまらず 半 導 体 デバイス 微 細 化 におけるスケーリング 則 を 維 持 するという 応 用 面 でも 重 要 である 一 方 で 表 面 系 における 走 査 型 プローブ 顕 微 鏡 のような 直 接 的 観 測 手 段 が ないために 半 導 体 中 の 不 純 物 欠 陥 構 造 の 同 定 は 困 難 であり 種 々の 間 接 的 な 観 測 手 段 を 総 合 して 原 子 構 造 を 決 定 している このような 間 接 的 な 手 段 の 一 つとして 内 殻 電 子 による X 線 光 電 子 スペクトル(XPS) 測 定 があげられるが 格 子 欠 陥 構 造 に 関 しては これまで 信 頼 性 のある 結 果 はほとんど 得 られていなかった それには 幾 つかの 要 因 が 絡 んでおり その 中 でも 重 要 なものは 計 算 上 の 境 界 条 件 の 問 題 である XPS 観 測 値 は 電 子 の 励 起 エネルギーであるが そのエネ ルギーの 基 準 値 を 互 いに 比 較 する 個 々の 欠 陥 系 で 統 一 する 必 要 がある しかしながら 欠 陥 系 は 周 りの 結 晶 に 対 して 歪 などで 弾 性 的 な 影 響 を 及 ぼすに 留 まらず 欠 陥 種 により 余 分 な 電 子 ホール 等 を 放 出 して 静 電 ポテンシャル 的 な 意 味 での 境 界 条 件 に 大 きな 影 響 を 与 える 境 界 条 件 を 揃 え 理 想 的 にはバルクのシリコン 結 晶 と 同 等 の 条 件 を 実 現 する ためには 基 本 的 には 計 算 するモデルを 大 きくすることが 必 要 である 大 きな 系 を 取 り 扱 うためには 平 面 波 基 底 の 擬 ポテンシャル 法 が 計 算 精 度 並 びに 効 率 から 定 評 があるが XPS の 中 心 的 役 割 を 果 たす 内 殻 電 子 を naive な 擬 ポテンシャルでは 取 り 扱 えず 工 夫 が 必 要 となる 本 報 告 では シリコン 中 の B を 含 む 欠 陥 系 について これらの 計 算 手 法 の 有 効 性 を 確 認 し XPS 計 算 法 として 知 られる frozen orbital 近 似 Slater の 遷 移 状 態 (STS) 法 ΔSCF 法 について 比 較 した 結 果 について 述 べる XPS 計 算 用 に 内 殻 原 子 の 効 果 を 擬 ポテンシャルで 取 り 扱 う 方 法 としては Scheffler のグループにより 提 案 された screened core-hole 付 きの 擬 ポテンシャルが 最 もよく 知 ら -31-

40 れている[2] この 擬 ポテンシャルは XPS に 関 与 する 内 殻 電 子 を hole にして valence の 最 外 殻 軌 道 に1 電 子 付 け 加 えた 配 置 で 作 成 するもので ΔSCF 計 算 を 行 うことで 相 対 XPS シフト 量 を 計 算 する 本 報 告 では これとは 異 なり Vanderbilt により 提 案 された 超 ソフト 擬 ポテンシャル(USP)[3]の 多 重 参 照 機 能 をコア 電 子 にも 適 用 することによっ て 計 算 を 行 う この 方 法 は 前 者 に 比 べて hole となる 状 態 を 凍 結 していないので 精 度 の 上 がること spin を 露 わに 取 り 入 れることができるので 強 磁 性 状 態 計 算 などにも 適 用 できると 期 待 される 一 方 で 作 成 方 法 からわかるように 原 子 番 号 の 大 きな 元 素 に 適 用 することには 困 難 が 予 想 される USP の 多 重 参 照 機 能 を 用 いた 方 法 の 有 効 性 について テスト 系 として C と B を 含 む 分 子 に 関 して frozen orbital 近 似 STS 法 ΔSCF 法 について 調 べてみた 結 果 は frozen orbital 近 似 は 誤 差 が 非 常 に 大 きかったのに 比 べて STS 法 ΔSCF 法 は 共 に 10%の 精 度 で 実 験 を 再 現 した これは XPS 計 算 における 電 子 緩 和 の 重 要 性 を 反 映 している 境 界 条 件 に 関 して は 立 方 体 のスーパーセルモデルを 用 いて セル 境 界 付 近 に 位 置 する Si の 2s 準 位 を probe としてプロットした 結 果 を 図 3 に 示 す 青 で 描 かれているのが 純 粋 な Si 結 晶 のもので そ の 他 の 記 号 は B を 含 む 欠 陥 を 意 味 する これから 512Si 原 子 セルを 用 いると 0. 1eV 程 度 の 精 度 で XPS スペクトルが 議 論 できるということがわかる この 計 算 では XPS の 絶 対 値 は 計 算 できず 相 対 値 のみ 得 られるので 置 換 配 置 B( 図 中 Bs)からの 相 対 値 を 使 って イオ ン 注 入 によって 作 られた 実 験 結 果 [4]と 比 較 してみると B12 クラスター( 図 中 ICO,S4) <001>B-Si クラスター 等 の 存 在 を 強 く 示 唆 する 結 果 が 得 られた (ev) Si2s BINDING ENERGY ICO S4 T4 Si only splitb2 O6 BCH Bs B+VH3 Bs-Bs B+V SUPERCEL L SIZE 図 3 スーパーセル 中 の Si 2s 軌 道 エネルギーのセルサイズ 依 存 性. ICO, S4, T4, splitb2, O6, BCH, Bs は スーパーセル 中 の 欠 陥 構 造 モデルの 違 いを 表 す 5. 有 効 遮 蔽 媒 質 法 の 拡 張 ( 大 谷 ) 有 効 遮 蔽 媒 質 法 (ESM 法 )は 電 池 などの 電 極 表 面 で 起 こる 電 気 化 学 反 応 のダイナミクス を 追 うために 開 発 された 手 法 である[5]. ESM 法 では 系 に 3 次 元 の 周 期 境 界 条 件 を 課 して 行 う 計 算 手 法 において 表 面 垂 直 方 向 への 周 期 境 界 条 件 を 外 し 代 わりに 任 意 の 境 界 条 件 を 課 す 事 を 可 能 とする これにより 従 来 は 難 しかった 電 極 表 面 に 電 圧 を 印 加 した 状 況 下 でのシミュレーションが 可 能 になった ESM 法 を 用 いて 実 際 に 水 の 電 気 分 解 反 応 の 一 部 の -32-

41 (a) (b) 図 4.(a) 従 来 の ESM 法 での 境 界 条 件 (b) 拡 張 された ESM 法 での 境 界 条 件 の 誘 電 率 を 表 し は 灰 色 の ESM が 置 かれた 位 置 を 示 す は ESM シミュレーションが 実 際 に 行 われ 電 気 化 学 反 応 の 様 子 や 電 圧 印 加 による 界 面 構 造 の 変 化 などが 第 一 原 理 計 算 で 初 めて 明 らかにされた[6] このように ESM 法 では 従 来 は 不 可 能 だった 計 算 を 可 能 にしたが まだ 改 善 の 余 地 がある その 中 でも 最 も 重 要 な 問 題 は 計 算 対 象 の 表 面 / 界 面 と ESM の 間 には 真 空 領 域 が 必 要 と いうことである 従 来 の ESM 法 では 図 4(a)のような 誘 電 率 を 用 いており z=z 1 で 誘 電 率 は 不 連 続 に 変 化 す る これにより 電 子 が z>z1 の 領 域 に 進 入 すると 静 電 ポテンシャルの 1 次 微 分 が 不 連 続 な る このような 不 連 続 性 は 計 算 の 安 定 性 を 損 なう 恐 れがあるために 従 来 は 電 子 が z>z 1 の 領 域 に 進 入 しないように 十 分 な 真 空 領 域 を z<z 1 に 導 入 する 必 要 があった この 真 空 領 域 に 印 加 されている 電 圧 の 定 義 が 難 しいために 電 極 表 面 に 印 加 している 正 味 の 電 圧 を 定 義 で きなかった. また 真 空 領 域 に 原 子 が 進 入 しないようにバリアポテンシャルを z<z 1 の 領 域 に 導 入 する 必 要 があり モデリングの 自 由 度 を 損 なっていた 今 回 は 図 4(b)のような 滑 らかな 誘 電 率 の 変 化 を 表 現 できる 関 数 を 導 入 することによって 電 子 が z>z1 の 領 域 に 進 入 しても 静 電 ポテンシャルの 1 次 微 分 の 不 連 続 性 を 除 去 することが できた これにより より 自 然 な 電 気 化 学 系 のモデリングが 可 能 になった. 新 たな 関 数 に 関 しても 解 析 的 にグリーン 関 数 を 計 算 することが 可 能 であり 従 来 のプログラムへ 加 える 修 正 は 僅 かである z=z 1 におけるグリーン 関 数 の 連 続 性 より 静 電 ポテンシャルの 値 と 1 次 微 分 の 連 続 性 は 保 証 されている 新 たな 境 界 条 件 の 有 効 性 を 確 かめるために 水 分 子 を 用 いて ESM との 間 に 働 く 相 互 作 用 を 計 算 した 図 5 に 示 すように 水 分 子 を 向 きは 固 定 したままで ESM に 近 づ けていき 全 エネルギーの 変 化 を 計 算 した ESM の 遠 方 から 徐 々に 水 分 子 を 近 づけていくと 図 5.ESM と 水 分 子 の 相 互 作 用 エネルギー インセットは ESM と 水 分 子 の 相 対 的 位 置 関 係 のイメージ -33-

42 引 力 的 相 互 作 用 が 働 き 1. 5Å 付 近 に 最 安 定 となる 領 域 がある ESM は 古 典 的 な 金 属 のよう に 振 る 舞 うために 水 分 子 に 鏡 像 力 が 働 き 引 力 的 な 相 互 作 用 となる さらに 水 分 子 を 近 づけると 逆 に 斥 力 的 相 互 作 用 が 働 き ESM 領 域 には 水 分 子 は 進 入 しな いことがわかる 金 属 的 な ESM が z<z 1 の 領 域 に 存 在 するイオンの 静 電 力 を 完 全 に 遮 蔽 する ために z>z 1 の 領 域 に 進 入 した 電 子 にはイオンからの 束 縛 力 が 働 かず エネルギーが 上 昇 し 斥 力 が 働 いていると 考 えられる これらの ESM が 電 子 及 びイオンに 及 ぼす 影 響 は あくまでも 第 一 原 理 計 算 で 扱 う 領 域 に 対 する 有 効 的 な 効 果 であり 最 安 定 位 置 やエネルギーの 深 さに 物 理 的 な 意 味 は 無 いことに 注 意 する 必 要 がある 6. 窒 化 物 / 硼 化 物 界 面 の 強 磁 性 ( 常 行 ) 平 面 波 基 底 第 一 原 理 計 算 を 用 いて 仮 想 的 な AlN/MgB 2 (0001) 界 面 の 安 定 構 造 と 電 子 状 態 を 調 べ 最 安 定 状 態 で 強 磁 性 が 発 現 することを 見 出 した[7] スピン 分 極 は 界 面 に 局 在 して いるという 意 味 で 2 次 元 的 であり 強 磁 性 状 態 の 起 源 は 窒 素 原 子 の 2p 状 態 のスピン 分 極 に よるものである 界 面 窒 素 2p 状 態 の 局 所 状 態 密 度 を 計 算 し 鉄 の 状 態 密 度 と 比 較 した 結 果 Fermi 準 位 近 傍 においてスピン 分 極 によりピーク 位 置 が Fermi 準 位 からずれているという 意 味 において 類 似 している 事 が 分 かった また 窒 素 2p 状 態 の 局 所 状 態 密 度 は Bloch 状 態 とな った 後 においても 完 全 に 2 重 縮 退 していた このため この 界 面 における 界 面 強 磁 性 は Hund 結 合 と Fermi 準 位 近 傍 の 高 い 局 所 状 態 密 度 によるバンド 強 磁 性 であると 考 えられる これに 対 して 先 行 研 究 のある GaN/ZrB2(0001) 界 面 では 金 属 誘 起 ギャップ 状 態 (MIGS)の 効 果 によ りスピン 分 極 が 得 られない 事 も 分 かった さらに 界 面 構 造 のコンダクタンスを 計 算 した 結 果 スピン 分 極 した 電 子 輸 送 特 性 が 得 られ スピントロニクスへの 応 用 の 可 能 性 が 示 され た 7. まとめ 本 年 度 の 成 果 は 以 下 のとおりである (1) 動 力 学 の 第 一 原 理 計 算 に 用 いる 平 面 波 基 底 第 一 原 理 計 算 プログラム xtapp を 稲 葉 班 で 開 発 されたアクセラレータ Grape-DR を 使 えるように 改 変 し 問 題 点 の 洗 い 出 しを 行 った (2) 物 質 の 熱 伝 導 度 の 第 一 原 理 計 算 を 実 現 するため 短 時 間 の 第 一 原 理 分 子 動 力 学 シミュ レーションから 非 調 和 格 子 モデルを 導 出 する 手 法 を 開 発 した また 得 られたモデル を 使 った 非 平 衡 分 子 動 力 学 法 シミュレーションを 行 い シリコンとダイヤモンドの 熱 伝 導 特 性 を 調 べた (3) 超 ソフト 擬 ポテンシャルの 多 重 参 照 機 能 を 用 いて 結 晶 中 の 欠 陥 による 内 殻 XPS を 高 精 度 で 計 算 する 手 法 を 提 案 し Si 結 晶 中 の B 欠 陥 について 0. 1eV 程 度 の 精 度 で 実 験 を 再 現 できることを 示 した (4) 新 たな 境 界 条 件 を 導 入 することにより ESM 法 を 拡 張 した これにより 従 来 の ESM 法 の 問 題 であった 真 空 領 域 の 導 入 と 原 子 に 対 するバリアポテンシャルの 導 入 の 二 つの 問 題 が 同 時 に 解 決 された また 真 空 領 域 の 除 去 により 電 極 表 面 への 印 加 電 圧 が 明 瞭 に 定 義 することが 可 能 となった (5) 平 面 波 基 底 第 一 原 理 計 算 を 用 いて 仮 想 的 な AlN/MgB 2 (0001) 界 面 の 安 定 構 造 と 電 子 状 態 を 調 べ 最 安 定 状 態 で 強 磁 性 が 発 現 することを 見 出 した 8. 参 考 文 献 [1] K. Esfarjani and H. T. Stokes, Phys. Rev. B. 77, (2008). [2] E. Pehlke and M. Scheffler, Phys. Rev. Lett (1993). [3] D. Vanderbilt, Phys. Rev. B (1990). [4] I. Mizushima et al., Appl. Phys. Lett. 63, 373 (1993) -34-

43 [5] M. Otani and O. Sugino, Phys. Rev. B 73, (2006). [6] M. Otani, I. Hamada, O. Sugino, Y. Morikawa, Y. Okamoto and T. Ikeshoji, Phys. Chem. Chem. Phys. 10, 3609 (2008) and references therein. [7] Y. Gohda and S. Tsuneyuki, Phys. Rev. Lett. 106, (2011). 9. 連 携 研 究 者 研 究 協 力 者 連 携 研 究 者 : 中 山 隆 史 ( 千 葉 大 学 ) 杉 野 修 ( 東 京 大 学 ) 森 川 良 忠 ( 大 阪 大 学 ) 赤 木 和 人 ( 東 北 大 学 ) 館 山 佳 尚 ( 物 質 材 料 研 究 機 構 ) 諏 訪 雄 二 ( 日 立 基 礎 研 究 所 ) 合 田 義 弘 ( 東 京 大 学 ) 10. 本 研 究 課 題 における 平 成 22 年 度 の 発 表 論 文 と 招 待 講 演 発 表 論 文 : 1) J. Yamauchi and Y. Yoshimoto, ``X-ray Photoelectron Spectroscopy for the Boron Impurities in Silicon: a First-Principles Study" Proc. 30th Int. Conf. Physics on Semiconductors (Seoul, July 26-30, 2010). 2) Y. Gohda and S. Tsuneyuki, ``Two-Dimensional Intrinsic Ferromagnetism at Nitride-Boride Interfaces", Phys. Rev. Lett. 106, (2011). 招 待 講 演 : 1) 常 行 真 司 次 世 代 スパコン 戦 略 機 関 と 第 一 原 理 電 子 状 態 計 算 の 挑 戦 的 課 題 ( CMDワークショップ, 大 阪 大 学 ). -35-

44 密 度 汎 関 数 法 理 論 に 基 づく 非 平 衡 ナノスケール 電 気 伝 導 ダイナミクス Nanoscale Non-Equilibrium Electric Transport Dynamics Based on Density Functional Theory 渡 邉 聡 1 渡 辺 一 之 2 相 馬 聡 文 3 小 野 倫 也 S. Watanabe 1, K. Watanabe 2, S. Souma 3, T. Ono 4 東 京 大 学 1 東 京 理 科 大 学 2 神 戸 大 学 3 大 阪 大 学 1 The University of Tokyo, 2 Tokyo University of Science, 3 Kobe University, 4 Osaka University 4 4 ナノスケール 電 気 伝 導 現 象 について 様 々な 面 から 計 算 科 学 的 に 研 究 した 結 果 を 報 告 する 交 流 応 答 特 性 過 渡 応 答 特 性 光 刺 激 電 子 励 起 といった 電 子 ダイナミ クスが 関 係 した 現 象 単 分 子 架 橋 や 原 子 スイッチ 等 の 原 子 ダイナミクスに 係 わる 現 象 および 最 近 注 目 を 集 めているナノリボンの 電 気 特 性 等 に 関 する 解 析 で 興 味 深 い 知 見 を 得 た 他 方 法 論 プログラム 開 発 にも 進 展 があった 1. はじめに ナノスケール 電 気 伝 導 は 1990 年 代 から 活 発 に 研 究 されているトピックである 計 算 科 学 の 面 からも 非 平 衡 開 放 系 の 電 気 伝 導 特 性 を 計 算 する 手 法 の 開 発 が 進 み 様 々な ナノ 構 造 の 電 気 伝 導 特 性 が 解 析 されてきた しかし 界 面 ラフネスをはじめとした 原 子 配 列 の 詳 細 局 所 高 電 界 場 の 印 加 による 非 平 衡 過 程 の 出 現 高 クロック 動 作 に 伴 う 過 渡 現 象 の 重 要 性 の 増 大 等 が 絡 み 合 ったダイナミックな 過 程 がナノデバイスやその 実 験 的 研 究 の 場 において 発 現 していることに 鑑 みると 我 々のナノスケール 電 気 伝 導 に 対 する 理 解 はまだ 不 十 分 である そこで 本 計 画 班 では 様 々な 意 味 でのダイナミクスに 特 に 重 点 を 置 き 電 気 伝 導 とフォノン 熱 イオン 伝 導 スピン 電 子 励 起 等 との 絡 み 合 いや より 実 際 に 近 いモデル 化 にも 注 意 を 払 いながら ナノスケール 電 気 伝 導 のより 深 い 理 解 を 目 指 して 計 算 科 学 研 究 を 進 めている 以 下 に その 平 成 22 年 度 における 成 果 を 述 べ る 2. 交 流 応 答 特 性 および 過 渡 応 答 特 性 に 関 する 研 究 ナノスケール 電 気 伝 導 に 関 する 従 来 の 計 算 科 学 研 究 は 大 部 分 が 定 常 状 態 に 関 する 物 であったが デバイスの 動 作 においてはスイッチング 時 の 過 渡 応 答 や 交 流 電 圧 印 加 時 の 特 性 が 重 要 である この 点 に 鑑 み 交 流 応 答 特 性 過 渡 応 答 特 性 の 検 討 を 進 めた 交 流 応 答 特 性 については サブ THz~THz 領 域 での 高 速 動 作 を 狙 う 次 世 代 ナノ 電 子 デ バイスの 材 料 としてナノカーボン 材 料 が 有 力 であることから 金 属 カーボンナノチュー ブ(CNT)のサブ THz 交 流 電 気 伝 導 を 解 析 している 非 平 衡 グリーン 関 数 (NEGF) 法 と 強 結 合 法 と 組 み 合 わせ 電 極 の 取 り 扱 いにおいてはワイドバンド 極 限 近 似 を 交 流 輸 送 係 数 であるアドミッタンスの 評 価 には 線 形 応 答 近 似 を 用 いた 計 算 により 交 流 応 答 特 性 の 電 極 CNT 接 触 強 度 依 存 性 を 解 析 した 結 果 接 触 強 度 が 強 くなると 共 に 容 量 性 サ セプタンスから 誘 導 性 サセプタンスへの 転 移 が 起 きること そしてこの 転 移 がちょうど 量 子 化 コンダクタンス g = 2e 2 /h で 起 こっていることがわかった( 図 1 参 照 ) さらに この 結 論 は 様 々なカイラリティーの 金 属 CNT に 対 して 成 立 する 普 遍 的 なものである -36-

45 ことがわかった 1) また NEGF 法 と 密 度 汎 関 数 法 (DFT) 計 算 とを 組 み 合 わせたプログ ラムも 開 発 し 単 原 子 空 孔 欠 陥 や Stone-Wales 欠 陥 を 含 む CNT の 交 流 応 答 のシミュレーショ ンを 進 めた 結 果 の 詳 細 は 解 析 中 である 過 渡 応 答 特 性 については NEGF 法 強 結 合 法 ワイドバンド 極 限 近 似 および 線 形 応 答 近 似 に 基 づく 計 算 で 量 子 ドット 電 極 結 合 系 にステップ 関 数 的 に 電 圧 を 印 加 した 際 の 応 答 特 性 について 以 前 に 解 析 した 結 果 [1] を 踏 まえ 水 素 分 子 またはオクタテトラエン 分 子 が 金 属 電 極 と 結 合 した 系 に 対 して 解 析 した これら の 系 における 過 渡 応 答 特 性 は 量 子 ドット 系 の 場 合 より 複 雑 であったが 電 極 と 分 子 との 結 合 が 弱 い 場 合 には 量 子 ドット 系 の 場 合 と 定 性 的 に 同 じ 振 舞 いを 示 す 独 立 な 成 分 に 分 解 で きることがわかった [2] 図 1. ジグザグ 型 金 属 ナノチューブ 架 橋 の エミッタンス E と 直 流 コンダクタンス g の 関 係 E 0 は 接 触 強 度 パラメータγ=1 の 場 合 のエミッタンス 挿 入 図 は E とγの 関 係 3. 光 誘 起 電 気 伝 導 に 関 する 研 究 ナノスケール 物 質 の 光 応 答 と 電 子 励 起 ダイナミクスを 多 体 電 子 論 と DFT によって 明 らかにすることを 目 的 として 平 成 22 年 度 は(1)CNT スピン 三 重 項 励 起 子 発 光 メカ ニズムの 解 明 と(2) 炭 素 系 ナノリボンからのレーザー 刺 激 電 界 電 子 放 射 のシミュレー ションを 行 った 以 下 に 概 要 を 述 べる CNT の 光 物 性 の 中 で 励 起 子 からの 発 光 は 最 も 重 要 なテーマの 一 つである 最 近 の 実 験 で 原 子 状 水 素 が 吸 着 した CNT のフォトルミネッセンスの 発 光 スペクトルに そ のエネルギー 位 置 からスピン 三 重 項 励 起 子 からの 発 光 とみられるサブピークが 観 測 さ れた [3] これは スピン 三 重 項 励 起 子 は 光 学 禁 制 で 発 光 しないという 今 までの 常 識 を 覆 すものである この 観 測 結 果 についてベ ーテ サルピータ 方 程 式 を 解 いて 解 析 す ることにより 原 子 状 水 素 吸 着 によって CNT 局 所 電 子 状 態 が SP 2 から SP 3 結 合 に 変 わることでスピン 軌 道 相 互 作 用 が 大 き くなり スピン 一 重 項 三 重 項 励 起 子 間 混 成 によって 光 学 禁 制 であった 暗 いスピ ン 三 重 項 励 起 子 が 光 学 許 容 になることを 明 らかにした 2) 吸 収 スペクトルの 計 算 結 果 ( 図 2)からわかるように 低 エネル ギー 位 置 のスピン 三 重 項 励 起 子 ピークが 吸 着 水 素 量 に 比 例 して 成 長 している 2) こ 図 2. 水 素 吸 着 (20,0)CNT の 光 吸 収 スペクトル れは 実 験 結 果 をよく 説 明 している レーザー 刺 激 電 界 電 子 放 射 に 関 しては 最 近 フェムト 秒 パルスレーザーを 電 界 電 子 源 に 照 射 することによって 電 界 放 射 特 性 を 制 御 する 実 験 が 注 目 を 集 めている [4] そこで フェムト 秒 パルスレーザー 刺 激 を 受 けた 炭 素 系 ナノリボンから 電 界 放 射 する 電 子 のダ イナミクスを 追 跡 するために 時 間 依 存 密 度 汎 関 数 を 使 ったシミュレーションに 着 手 し た 得 られた 結 果 の 一 つは グラフェンリボンに 1V/A の 静 電 界 と 0.1V/A の 強 度 で 4eV の 振 動 数 のレーザーを 照 射 すると レーザーを 照 射 しない 場 合 に 比 較 して 2 倍 の 電 流 が 放 射 されたことである これは Optical Field Emission と 定 義 される 特 性 と 理 解 できる -37-

46 4. 原 子 ダイナミクスと 電 気 伝 導 との 相 関 に 関 する 研 究 熱 振 動 や 電 圧 電 場 による 原 子 移 動 は 電 気 伝 導 特 性 にも 大 きな 影 響 を 及 ぼす 電 子 フォノン 散 乱 のナノスケール 電 気 伝 導 への 影 響 については 既 に 理 論 研 究 が 進 んでいる が 本 計 画 班 ではこれに 限 らず 原 子 ダイナミクスとナノスケール 電 気 伝 導 との 相 関 につ いて 広 く 研 究 を 進 めている ここでは 単 分 子 架 橋 原 子 スイッチ 4 端 子 抵 抗 測 定 の 3 つのトピックについて 成 果 を 報 告 する 金 属 電 極 間 の 単 分 子 架 橋 の 電 気 伝 導 特 性 は ナ ノスケール 電 気 伝 導 の 中 でも 理 論 実 験 両 面 から 特 に 盛 んに 研 究 されているトピックの 一 つであ る しかし 室 温 溶 液 中 の 分 子 架 橋 については 実 験 が 盛 んであるにもかかわらず 理 論 解 析 は 少 なかった そこで 本 班 メンバーは 以 前 に 第 一 原 理 分 子 動 力 学 法 による 原 子 配 置 計 算 と NEGF 法 に よる 電 気 伝 導 計 算 とを 組 み 合 わせた 解 析 を 行 っ た [5] が その 方 法 論 は 整 合 性 が 不 十 分 であり ま たバイアス 電 圧 印 加 下 での 分 子 動 力 学 (MD) 計 算 もできなかった そこで NEGF 法 の 枠 組 みの 中 で MD 計 算 も 行 って 金 電 極 間 ベンゼンジチオ ール 架 橋 の 電 気 伝 導 度 と 構 造 の 時 間 変 化 を 計 算 した [6] 伝 導 度 ヒストグラムの 計 算 結 果 を 図 3に 示 す バイアス 電 圧 ゼロの 場 合 については 伝 導 度 揺 らぎ 幅 の 溶 媒 水 分 子 の 有 無 による 変 化 や 電 気 伝 導 度 と C S 結 合 長 の 負 の 相 関 といった 先 行 研 究 [5] に 現 れた 重 要 な 特 徴 をおおむね 定 性 的 に 再 現 する 結 果 が 得 られた バイアス 電 圧 を 1V 印 加 した 場 合 には これらの 特 徴 は 変 わらないもの の 伝 導 度 の 平 均 値 が 大 きくなった さらに 伝 導 度 および C S 結 合 長 のフーリエスペクトルの 解 析 を 進 めている [6] 伝 導 度 のフーリエスペクト 図 3.( a ) 水 中 および( b ) 真 空 中 の 単 分 子 架 橋 の 伝 導 度 ヒストグラム 低 伝 導 度 ピークはバイアス 電 圧 0 V 高 伝 導 度 ピークは 1 V の 場 合 ルの 形 状 に 水 中 の 場 合 と 真 空 中 の 場 合 とで 明 らかに 異 なること スペクトルのピークが C S 結 合 に 関 する 対 称 振 動 モードと 対 応 すること 等 を 見 出 した 原 子 スイッチ( 固 体 電 解 質 を 酸 化 可 能 な 金 属 電 極 と 不 活 性 な 金 属 電 極 の 間 に 挟 んだ 構 造 を 持 ち 電 圧 の 印 加 により 低 抵 抗 状 態 と 高 抵 抗 状 態 との 間 をスイッチングする 素 子 ) に 関 しては Cu Ta 2 O 5 Pt 接 合 系 関 する DFT 計 算 により Ta 2 O 5 層 中 に 添 加 Cu 原 子 が 1 列 に 並 んで 電 極 間 を 架 橋 した 構 造 が 高 い 伝 導 性 を 示 すことを 既 に 明 らかにしている [7] これを 踏 まえ 低 抵 抗 状 態 の 形 成 過 程 を 解 明 するための 予 備 検 討 として Cu Ta 2 O 5 接 合 系 に 対 して 有 効 遮 蔽 媒 体 法 [8] を 用 いた 電 場 印 加 計 算 を 行 った [9] その 結 果 電 場 印 加 時 にも Ta 2 O 5 Cu 界 面 近 傍 の 実 効 的 な 電 場 は 非 常 に 小 さいことを 見 出 した これは 低 抵 抗 状 態 の 形 成 過 程 がバイアス 電 圧 印 加 でまず Cu 電 極 中 の 界 面 付 近 の Cu が 動 き 出 す という 単 純 なものではないことを 示 唆 している 4 端 子 抵 抗 測 定 のシミュレーションに 関 しては 開 発 を 進 めてきた 多 端 子 電 気 伝 導 シ ミュレータ [10] に 有 限 バイアス 電 圧 印 加 の 効 果 および 電 子 フォノン 散 乱 の 効 果 を 陽 に 取 り 込 むことを 試 みた 有 限 バイアス 電 圧 に 関 しては 電 圧 プローブに 流 れる 電 流 がゼ ロとなるような 電 圧 プローブ 電 圧 を 透 過 関 数 スペクトルがバイアス 電 圧 により 変 化 し ないとの 仮 定 の 下 で 求 める 関 係 式 を 導 出 することができた 他 有 限 バイアス 電 圧 による 静 電 ポテンシャル 変 化 と 透 過 関 数 スペクトル 変 化 を 陽 に 考 慮 した 計 算 にも 炭 素 原 子 鎖 系 で 成 功 し 上 述 の 関 係 式 の 妥 当 性 を 確 認 することができた [11] 電 子 フォノン 散 乱 に ついては 試 料 プローブとも 水 素 原 子 鎖 から 成 る 系 について 中 央 の 1 原 子 の 振 動 のみ -38-

47 考 慮 した 計 算 に 成 功 し 振 動 エネルギー(42meV)に 対 応 した 電 流 プローブ 間 バイアス 電 圧 において 4 端 子 抵 抗 値 が 増 大 することの 他 電 流 プローブ 間 電 圧 が 75meV のとこ ろでも 4 端 子 抵 抗 値 が 増 大 することを 見 出 した [12] さらに 75meV での 抵 抗 値 増 大 は 電 流 プローブ 電 圧 プローブ 間 の 電 圧 が 振 動 エネルギーと 等 しくなるためであること を 明 らかにした 以 上 に 加 え 多 端 子 電 気 伝 導 シミュレータの 高 速 化 のための 計 算 アル ゴリズムについて A01-3 張 紹 良 班 と 議 論 した 現 在 は 克 服 すべき 課 題 が 明 らかになっ た 段 階 であるが 今 後 連 携 を 進 めることにより 高 速 化 が 進 む 可 能 性 がある 5.ナノリボン 構 造 の 電 気 伝 導 特 性 グラフェンは シリコンに 代 わる 半 導 体 材 料 として 近 年 注 目 を 集 めている 材 料 であり 特 に,それをリボン 状 に 加 工 したグラフェンナノリボンは 結 晶 に 対 するリボン 軸 の 方 向 やリボンの 幅 によって 異 なる 電 気 的 光 学 的 性 質 を 持 つ 事 から 応 用 上 の 興 味 が 高 まってい る これに 関 連 して BN ないし BNC 複 合 ナノリボン 構 造 も 興 味 を 持 たれている 本 計 画 班 では 神 戸 大 と 阪 大 の 2 つのサブグループが 異 なる 面 からナノリボンの 電 気 特 性 に 関 する 研 究 を 進 めた 神 戸 大 グループは ジグザグ 端 グラフェンナノリボンによる n-i-n 構 造 (n: 電 子 ドープソー ス/ドレイン 電 極,i:ノンドープチャネル)の 特 性 を 解 析 した グラフェンナノリボンの うちアームチェア 端 のリボンはその 幅 によって 金 属 的 な 場 合 と 半 導 体 的 な 場 合 に 分 かれる が ジグザグ 端 を 持 ったリボンは 幅 によらず 端 状 態 と 呼 ばれる 端 に 局 在 した 状 態 がフェル ミ 面 近 傍 に 存 在 し そのため 金 属 的 な 性 質 を 持 つと 言 われている しかし 実 はジグザグ 端 グラフェンナノリボンによる n-i-n 構 造 (n: 電 子 ドープソース/ドレイン 電 極,i:ノンドー プチャネル)は その 幅 が 偶 数 個 のジグザグ 状 炭 素 原 子 列 から 構 成 される 場 合 と 奇 数 個 の ジグザグ 状 炭 素 原 子 列 から 構 成 される 場 合 とで 異 なった 電 流 電 圧 特 性 を 持 つことがわかっ ている 特 に 幅 が 偶 数 個 のジグザグ 状 炭 素 原 子 列 から 構 成 される 場 合 価 電 子 帯 頂 上 のバ ンドと 伝 導 帯 底 のバンドがそれぞれ 幅 方 向 に 反 対 称 対 称 な 波 動 関 数 を 持 つことから そ れらの 間 での 電 子 の 透 過 がブロックされ 通 常 の 電 界 効 果 型 トランジスタに 類 似 した 電 流 の 飽 和 特 性 が 現 れる [13] この 現 象 は 対 称 性 に 起 因 した 現 象 であるため 格 子 欠 陥 が 存 在 する 場 合 に はその 影 響 を 強 く 受 ける 事 が 予 想 される ところ が リボン 端 に 原 子 欠 陥 がある 場 合 のシミュレー ションを 行 ったところ 欠 陥 がドレイン 電 極 近 く にある 場 合 にはたしかに その 影 響 が 強 く 現 れたの に 対 し ソース 電 極 近 く にある 場 合 にはほとんど 影 響 が 現 れない 事 がわかっ た 3) 図 4. 偶 数 幅 ジグザグ 端 グラフェンナノリボンの 電 流 電 圧 特 性 に おける 格 子 欠 陥 の 影 響 欠 陥 位 置 が 異 なる3つの 場 合 の 比 較 阪 大 グループは まず 方 法 論 の 改 良 に 取 り 組 んだ グリーン 関 数 を 用 いて 輸 送 特 性 を 計 算 する 従 来 の Overbridging boundary-matching 法 は グリーン 関 数 を 計 算 するために ほとんどの 計 算 時 間 を 費 やしていた そこで グリーン 関 数 を 計 算 する 代 わりに 入 射 波 に 対 する 連 立 方 程 式 を 解 くことにより 散 乱 の 波 動 関 数 を 計 算 する 方 法 を 開 発 した 4) この 方 法 は Jellium 電 極 を 用 いることを 強 いられるが 従 来 法 に 比 べ 5 倍 以 上 の 計 算 速 度 で 輸 送 特 性 を 計 算 することができる この 方 法 を 用 いて BNC ナノリボン 構 造 の -39-

48 スピン 輸 送 特 性 を 調 べた 2 次 元 BNC 構 造 において BN(Boron-Nitride) 領 域 と C(Carbon) 領 域 を 分 けるストライ プ 構 造 を 導 入 すると C 領 域 がスピ ン 分 極 することが 報 告 されている しかしながら 2 次 元 BNC 構 造 の 両 端 にグラフェンを 接 合 した 際 に ス ピン 分 極 を 示 すのか あるいは 電 流 を 流 した 際 にスピン 偏 流 が 得 られ るのかについては わかっていない 電 極 で 挟 んだ 状 況 でもスピン 分 極 を 維 持 し より 多 くのスピン 偏 流 を 得 ることは スピン 伝 導 性 を 用 いた 応 用 的 な 側 面 からも 重 要 であると 考 え られる そこで 両 端 をグラフェン に 接 合 されたストライプ 構 造 をもつ BNC 構 造 について 電 子 構 造 と 輸 送 図 5.グラフェンに 接 合 された BNC 構 造 ( 挿 入 図 )と 入 射 電 子 のエネルギーに 対 する 輸 送 特 性 挿 入 図 では 白 丸 が C 灰 丸 が B 黒 丸 が N 原 子 を 示 す 特 性 の 計 算 を 行 い ストライプ 構 造 が BNC 構 造 のスピン 偏 流 に 与 える 影 響 について 調 べた ストライプ 構 造 の 変 化 や C 領 域 間 距 離 の 変 化 がスピン 分 極 に 与 える 影 響 を 調 べ たところ より 小 さな C 領 域 が BN 領 域 に 挟 まれて 孤 立 した 構 造 を 持 つ 程 C 領 域 での スピン 分 極 は 大 きくなることがわかった また 輸 送 特 性 は 図 5に 示 すようにハーフメ タリック 金 属 のような 完 全 スピン 偏 極 輸 送 特 性 を 示 すことを 発 見 した 6. 参 考 文 献 [1] K. Sasaoka, T. Yamamoto, and S. Watanabe, Appl. Phys. Lett. 96, (2010). [2] W. Liu, K. Sasaoka, T. Yamamoto, and S. Watanabe, submitted to J. Appl. Phys. [3] K. Nagatsu, S. Chiashi, S. Konabe, and Y. Homma, Phys. Rev. Lett. 105, (2010). [4] H. Yanagisawa, et al., Phys. Rev. Lett. 103, (2009). [5] A. Tawara, T.Tada, and S. Watanabe, Phys. Rev. B 80, (2009). [6] 稲 塚 大 氣 東 京 大 学 大 学 院 工 学 系 研 究 科 マテリアル 工 学 専 攻 修 士 論 文 (2011). [7] T. K. Gu, T. Tada, and S. Watanabe, ACS Nano 4, 6477 (2010). [8] M. Otani and O. Sugino, Phys. Rev. B 7 3, (2006). [9] 松 本 拓 也 東 京 大 学 工 学 部 マテリアル 工 学 科 卒 業 論 文 (2011). [10] A. Terasawa, T. Tada, and S. Watanabe, Phys. Rev. B 79, (2009). [11] 寺 澤 麻 子 東 京 大 学 大 学 院 工 学 系 研 究 科 マテリアル 工 学 専 攻 博 士 論 文 (2011). [12] 飛 松 啓 司 東 京 大 学 大 学 院 工 学 系 研 究 科 マテリアル 工 学 専 攻 修 士 論 文 (2011). [13] S. Souma, M. Ogawa, T. Yamamoto, and K. Watanabe, J. Comp. Electronics 7, 390 (2008). 7. 連 携 研 究 者 研 究 協 力 者 連 携 研 究 者 : 多 田 朋 史 ( 東 京 大 学 大 学 院 工 学 系 研 究 科 特 任 講 師 ) 山 本 貴 博 ( 東 京 大 学 工 学 系 研 究 科 助 教 ( 平 成 23 年 4 月 より 東 京 理 科 大 学 工 学 部 講 師 )) 小 鍋 哲 ( 筑 波 大 学 数 理 科 学 研 究 科 研 究 員 ) 酒 井 明 ( 京 都 大 学 工 学 研 究 科 教 授 ) -40-

49 8. 本 研 究 課 題 における 平 成 22 年 度 の 発 表 論 文 と 招 待 講 演 発 表 論 文 : 1) T. Yamamoto, K. Sasaoka, and S. Watanabe, Universal transition between inductive and capacitive admittance of metallic single-walled carbon nanotubes, Phys. Rev. B 82, (2010). 2) S. Konabe and K. Watanabe, Mechanism for optical activation of dark spin-triplet excitons in hydrogenated single-walled carbon nanotubes, Phys. Rev. B 83, (2011). 3) S. Souma, M. Ogawa, T. Yamamoto, and K. Watanabe, Parity induced edge-current saturation and current distribution in zigzag-edged graphene nano-ribbon devices, accepted for publication in J. Comp. Electronics (2011). 4) Y. Egami, K. Hirose, and T. Ono, Time-saving first-principles calculation method for electron transport between jellium electrodes, Phys. Rev. E 82, (2010). 5) T. Ono, M. Heide, N. Atodiresei, P. Baumeister, S. Tsukamoto, and S. Blügel, Real-space electronic-structure calculations with full-potential all-electron precision for transitionmetals, Phys. Rev. B 82, (2010). 6) T. Ono and S. Saito, First-Principles Study on Electronic Structure of Dangling Bond at Ge/GeO 2 Interfaces, Appl. Phys. Express 4, (2011). 7) A. Terasawa, K. Tobimatsu, T. Tada, T. Yamamoto, and S. Watanabe, Effects of Resonant Scattering by Probe Contacts on Nanoscale Four-Probe Resistance Measurements, New. J. Phys. 12, (2010). 8) 寺 澤 麻 子, 飛 松 啓 司, 多 田 朋 史, 山 本 貴 博, 渡 邉 聡, ナノスケールの4 端 子 抵 抗 測 定 における 量 子 干 渉 効 果 の 理 論 研 究, 表 面 科 学 31, 374 (2010). 9) S. Kasamatsu, T. Tada, and S. Watanabe, Theoretical analysis of space charge layer formation at metal/ionic conductor interfaces, Solid State Ionics 183, 20 (2011). 10) 渡 邉 聡, 多 田 朋 史, 山 本 貴 博, ナノスケール 電 気 伝 導 ダイナミクスのシミュレーショ ン, ナノ 学 会 会 報 9 (2011), 印 刷 中. 招 待 講 演 :( 下 線 を 付 したのは 登 壇 者 ) 1) S. Watanabe, T. Tada, S. Kasamatsu and T.K. Gu, Nanoscale simulations on electronic and atomic conduction in solid electrolyte/metal junction systems, IUMRS 11th International Conference in Asia (Qingdao, China, September 25-28, 2010). 2) S. Watanabe, W. Liu, D. Hirai, K. Sasaoka, and T. Yamamoto, Simulations on time-varying nanoscale electronic transport, Asian Consortium for Computational Materials Science the third Working Group Meeting (ACCMS-WGM3) on Advances in Nano-device Simulation (Jeju Island, Korea, March 31 - April 2, 2011). 3) T. Yamamoto, K. Sasaoka, and S. Watanabe, Coherent AC Transport in Metallic Carbon-Nanotube Bridges, Workshop on Simulation and Modeling of Emerging Electronics (SMEE 2010) (Hong Kong, China, December 6-10, 2010). 4) T. Ono, First-Principles Study on Transport Property of Nanostructures, International Conference on Core Research and Engineering Science of Advanced Materials & Third International Conference on Nanospintronics Design and Realization (3rd-ICNDR) (Osaka, May 30- June 4, 2010). 5) 小 野 倫 也, 第 一 原 理 計 算 によるSiおよびGe MOS 界 面 原 子 構 造 とリーク 電 流 特 性 の 予 測, ゲートスタック 研 究 会 - 材 料 プロセス 評 価 の 物 理, (Tokyo, January 21-23, 2011). -41-

50 プロトン ミューオンで 探 る 新 物 性 と 量 子 ダイナミクス New properties of materials probed by proton and muon, and their quantum dynamics 中 西 寛 1 後 藤 英 和 1 下 司 雅 章 1 Markus Wilde 2 Wilson Dino 1 福 谷 克 之 2* 笠 井 秀 明 H. Nakanishi 1, H. Goto 1, M. Geshi 1, M. Wilde 2, W. Dino 1, K. Fukutani 2*, H. Kasai 1* 大 阪 大 学 1 東 京 大 学 Osaka University 1, The University of Tokyo 2 本 研 究 班 は 物 質 環 境 下 におけるプロトン ミューオン 等 の 粒 子 の 振 る 舞 いに 関 する 理 論 的 取 り 扱 い 方 法 およびその 第 一 原 理 計 算 コードを 開 発 し その 量 子 ダイナミクス を 探 る また それら 粒 子 の 関 わる 新 規 物 性 を 探 査 する 第 1 章 では 物 質 環 境 下 にお けるプロトン ミューオンの 第 一 原 理 計 算 手 法 について 第 2 章 では プロトン ミュ ーオン 計 算 手 法 に 供 する 為 より 簡 便 で 高 精 度 な 電 子 状 態 計 算 手 法 の 開 発 状 況 について 報 告 する 新 規 物 性 の 探 査 として 第 3 章 で 高 圧 下 の 金 属 水 素 化 物 への 取 り 組 み 第 4 章 で 金 属 表 面 での 水 素 吸 収 ダイナミクスと 触 媒 反 応 性 に 関 する 実 験 グループの 取 り 組 みについて 報 告 する なお 実 験 グループで 見 いだされた 結 果 は 理 論 グループの 開 発 するシミュレーションコードの 評 価 にも 用 いる 予 定 である 2 1* 第 1 章 物 質 環 境 下 におけるプロトン ミューオンの 第 一 原 理 計 算 手 法 の 開 発 物 質 環 境 下 における 水 素 等 の 質 量 の 小 さな 原 子 の 核 の 運 動 に 対 して 量 子 力 学 を 適 応 する 第 一 原 理 量 子 ダイナミクスコード(Naniwa-series)を 開 発 している[1-6] 今 年 度 は Naniwa-Static[3-6]を 用 いて Pd(111) 表 面 における 水 素 の 同 位 体 (μ + H D) 効 果 を 調 査 した その 結 果 μ + は H D に 比 べ 全 く 異 なる 量 子 状 態 を Pd (111) 表 面 上 で 示 すこと がわかった 1.1 はじめに 密 度 汎 関 数 理 論 に 基 づく 電 子 状 態 計 算 法 は 広 義 の 局 所 密 度 近 似 を 用 いることにより 現 在 の 計 算 機 の 能 力 で 演 算 量 データ 量 を 妥 当 な 範 囲 に 収 めることができ 様 々な 物 質 系 に 適 応 された 最 も 成 功 した 固 体 物 性 論 の 計 算 手 法 の 一 つである さらに 原 子 と 原 子 の 間 の 相 互 作 用 にこの 計 算 手 法 を 援 用 した 第 一 原 理 分 子 動 力 学 法 は 様 々な 物 質 の 動 的 過 程 ( 化 学 反 応 を 含 む)に 適 用 され 成 功 を 収 めつつある しかしながら 小 さな 質 量 の 水 素 原 子 の 振 る 舞 いはトンネル 効 果 干 渉 効 果 束 縛 状 態 のエネルギー 離 散 化 効 果 ( 零 点 運 動 を 含 む) 非 局 在 効 果 等 の 量 子 力 学 的 効 果 が 顕 著 になり 明 らかに 分 子 動 力 学 法 の 適 応 範 囲 外 にある また 近 年 ミューオン( 正 確 には 反 ミューオン:μ + )が 物 性 のプローブ 粒 子 として 活 用 されている μ + は 陽 子 と 同 じ 電 荷 とスピンをもつ 安 定 な 素 粒 子 である 質 量 は 陽 子 の1/9で 物 質 中 では 水 素 の 同 位 体 としてふるまう μ + のスピンは パイ 中 間 子 の 自 然 崩 壊 で 生 成 される 際 運 動 量 とは 反 対 方 向 に 偏 極 しており 物 質 中 に 放 たれた μ + のスピンは 物 質 内 の 局 所 的 な 磁 場 を 受 けて 歳 差 運 動 を 始 める μ + の 平 均 寿 命 は 2.2 μ 秒 と 十 分 長 いため 物 質 中 の 安 定 な 位 置 に 落 ち 着 いた 後 に 崩 壊 する その 際 スピン -42-

51 方 向 に 選 択 的 に 放 出 される 陽 電 子 を 観 測 することにより μ + の 滞 留 した 位 置 での 局 所 的 な 磁 場 を 評 価 することができる(μSR) 我 々はこれまで 電 子 と 同 じく 水 素 原 子 核 にも 量 子 力 学 を 適 用 するための 計 算 方 法 を 模 索 してきた その 中 で 様 々な 元 素 からなる 様 々な 構 造 の 固 体 表 面 に 対 して 一 様 の 近 似 精 度 で 評 価 することが 可 能 な 第 一 原 理 ( 電 子 状 態 ) 計 算 手 法 の 現 在 の 利 点 を 生 かしつ つ その 固 体 表 面 における 水 素 の 量 子 力 学 的 振 る 舞 いを 記 述 する 方 法 として 電 子 系 - 水 素 原 子 核 - 環 境 格 子 系 の 二 段 階 の 断 熱 近 似 を 用 いる 手 法 を 実 践 してきた この 方 法 で 金 属 表 面 上 の 吸 着 水 素 原 子 の 基 底 状 態 の 運 動 量 分 布 や 振 動 励 起 エネルギーが 定 量 的 に 実 験 結 果 ( 前 者 は 共 鳴 核 反 応 法 後 者 は 電 子 線 エネルギー 損 失 分 光 法 )と 一 致 するこ とも 示 してきた 今 年 度 は 表 面 での 水 素 の 量 子 状 態 を 計 算 する Naniwa-Static を 用 いて Pd (111) 表 面 におけるμ + の 吸 着 量 子 状 態 を 調 査 し H および D の 吸 着 量 子 状 態 [6]と 比 較 検 討 を 行 った 1.2 第 一 原 理 量 子 状 態 計 算 コード:Naniwa-Static 原 子 分 子 の 吸 着 量 子 状 態 を 調 べるため まず 固 体 表 面 の 上 に 吸 着 分 子 原 子 を 置 き 原 子 分 子 の 位 置 座 標 の 関 数 として 系 のトータルエネル ギー( 原 子 分 子 の 運 動 エネルギーを 除 く)を 密 度 汎 関 数 法 をもとにした 第 一 原 理 計 算 によりも とめ 固 体 表 面 で 原 子 分 子 が 受 ける 断 熱 ポテン シャルエネルギー 超 曲 面 を 導 出 する 得 られた 断 熱 ポテンシャル 超 曲 面 で 原 子 分 子 のシュレーデ ィンガー 方 程 式 を 解 くことにより 吸 着 量 子 状 態 の 固 有 エネルギーと 波 動 関 数 を 得 ることができる [3-6] 図 1.1. Pd(111) 表 面 上 での 反 ミューオン(a) と 軽 水 素 (b)の 基 底 状 態 の 波 動 関 数 1.3 計 算 結 果 図 1.1(a)は Naniwa で 計 算 した Pd(111) 表 面 上 でのμ + の 基 底 状 態 の 波 動 関 数 である 図 1(b)に 示 す 軽 水 素 H(の 原 子 核 proton)の 波 動 関 数 が fcc hollow サイトに 局 在 しているのに 対 し μ + は fcc hollow サイトでの 頻 度 が 高 い ことは 変 わりないが top サイトを 除 く 表 面 全 体 に 広 がり 局 在 性 が 非 常 に 弱 いことがわか る 図 1.2 にμ + H D(の 原 子 核 deuteron) の 各 エネルギー 準 位 を 示 す H と D の 零 点 振 動 の 基 底 状 態 および 低 エネルギーの 励 起 状 態 では 波 動 関 数 の 対 称 性 は 変 化 せず エネル ギー 準 位 のシフトと 局 在 性 の 若 干 の 強 弱 が 生 じていたが μ + では 基 底 状 態 から 著 しく 局 在 性 が 失 われる 為 低 エネルギー 準 位 の 対 称 性 も 異 なる H D では 基 底 状 態 と 第 一 励 起 状 態 は 僅 かにエネルギー 分 裂 をおこし そ れぞれ fcc hollow サイトと hcp hollow サイト に 局 在 したものであった そこから 数 百 mev のギャップを 挟 んだ 第 二 励 起 状 態 以 上 で 振 動 図 1.2. Pd(111) 表 面 上 での 反 ミューオン 軽 水 素 重 水 素 のエネルギー 準 位 (a) 図 (a) 内 シアン 色 枠 内 の 拡 大 図 (b) 各 準 位 右 上 の 数 字 は 縮 重 度 若 しくは 僅 かに 分 裂 した 近 接 準 位 数 -43-

私立大学等研究設備整備費等補助金(私立大学等

私立大学等研究設備整備費等補助金(私立大学等 私 立 大 学 等 研 究 設 備 整 備 費 等 補 助 金 ( 私 立 大 学 等 研 究 設 備 等 整 備 費 ) 交 付 要 綱 目 次 第 1 章 通 則 ( 第 1 条 - 第 4 条 ) 第 2 章 私 立 大 学 等 ( 第 5 条 - 第 15 条 ) 第 3 章 専 修 学 校 ( 第 16 条 - 第 25 条 ) 第 4 章 補 助 金 の 返 還 ( 第 26 条 ) 第

More information

Microsoft Word - 佐野市生活排水処理構想(案).doc

Microsoft Word - 佐野市生活排水処理構想(案).doc 佐 野 市 生 活 排 水 処 理 構 想 ( 案 ) 平 成 27 年 12 月 佐 野 市 目 次 1. 生 活 排 水 処 理 構 想 について 1.1 生 活 排 水 処 理 構 想 とは P.1 1.2 生 活 排 水 処 理 施 設 の 種 類 P.1 2. 佐 野 市 の 現 状 と 課 題 2.1 整 備 状 況 P.2 2.2 主 な 汚 水 処 理 施 設 P.2 2.3 生 活

More information

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について 平 成 25 年 度 独 立 行 政 法 日 本 学 生 支 援 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 日 本 学 生 支 援 機 構 は 奨 学 金 貸 与 事 業 留 学 生 支 援

More information

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63>

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63> 平 成 22 年 11 月 9 日 高 校 等 の 授 業 料 無 償 化 の 拡 大 検 討 案 以 下 は 大 阪 府 の 検 討 案 の 概 要 であり 最 終 的 には 平 成 23 年 2 月 議 会 での 予 算 の 議 決 を 経 て 方 針 を 確 定 する 予 定 です Ⅰ. 検 討 案 の 骨 子 平 成 23 年 度 から 大 阪 の 子 どもたちが 中 学 校 卒 業 時 の

More information

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6 様 式 租 税 特 別 措 置 等 に 係 る 政 策 の 事 前 評 価 書 1 政 策 評 価 の 対 象 とした 産 業 活 力 の 再 生 及 び 産 業 活 動 の 革 新 に 関 する 特 別 措 置 法 に 基 づく 登 録 免 租 税 特 別 措 置 等 の 名 称 許 税 の 特 例 措 置 の 延 長 ( 国 税 32)( 登 録 免 許 税 : 外 ) 2 要 望 の 内 容

More information

Taro-08国立大学法人宮崎大学授業

Taro-08国立大学法人宮崎大学授業 国 立 大 学 法 人 宮 崎 大 学 授 業 料 その 他 の 費 用 に 関 する 規 程 平 成 19 年 3 月 30 日 制 定 改 正 平 成 19 年 9 月 10 日 平 成 20 年 3 月 25 日 平 成 21 年 1 月 29 日 平 成 21 年 9 月 3 日 平 成 21 年 11 月 27 日 平 成 23 年 3 月 30 日 ( 趣 旨 ) 第 1 条 この 規

More information

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36 独 立 行 政 法 人 駐 留 軍 等 労 働 者 労 務 管 理 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 検 証 結 果 理 事 長 は 今 中 期 計 画 に 掲 げた 新 たな 要

More information

Microsoft PowerPoint - 報告書(概要).ppt

Microsoft PowerPoint - 報告書(概要).ppt 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 論 点 と 意 見 について ( 概 要 ) 神 奈 川 県 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 検 討 会 議 について 1 テーマ 地 方 公 務 員 制 度 改 革 ( 総 務 省 地 方 公 務 員 の 労 使 関 係 制 度 に 係 る 基 本 的 な 考 え 方 )の 課 題 の 整

More information

<4D6963726F736F667420576F7264202D208E52979C8CA78E598BC68F5790CF91A390698F9590AC8BE08CF0957497768D6A2E646F6378>

<4D6963726F736F667420576F7264202D208E52979C8CA78E598BC68F5790CF91A390698F9590AC8BE08CF0957497768D6A2E646F6378> 山 梨 県 産 業 集 積 促 進 助 成 金 交 付 要 綱 ( 通 則 ) 第 1 条 山 梨 県 産 業 集 積 促 進 助 成 金 ( 以 下 助 成 金 という )の 交 付 については 山 梨 県 補 助 金 等 交 付 規 則 ( 昭 和 36 年 山 梨 県 規 則 第 25 号 以 下 規 則 という )に 定 め るところによるほか この 要 綱 に 定 めるところによる ( 目

More information

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1 独 立 行 政 法 人 統 計 センター( 法 人 番 号 7011105002089)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 独 立 行 政 法 人 通 則 法 第 52 条 第 3 項 の 規 定 に 基 づき

More information

1 平 成 27 年 度 土 地 評 価 の 概 要 について 1 固 定 資 産 税 の 評 価 替 えとは 地 価 等 の 変 動 に 伴 う 固 定 資 産 の 資 産 価 値 の 変 動 に 応 じ その 価 格 を 適 正 で 均 衡 のとれたものに 見 直 す 制 度 である 3 年 ご

1 平 成 27 年 度 土 地 評 価 の 概 要 について 1 固 定 資 産 税 の 評 価 替 えとは 地 価 等 の 変 動 に 伴 う 固 定 資 産 の 資 産 価 値 の 変 動 に 応 じ その 価 格 を 適 正 で 均 衡 のとれたものに 見 直 す 制 度 である 3 年 ご 平 成 27 年 度 固 定 資 産 ( 土 地 ) 評 価 替 えについて - 県 内 市 町 の 基 準 宅 地 の 評 価 額 - 市 町 名 区 分 所 在 地 ( 参 考 ) 27 年 度 27 年 度 24 年 度 修 正 率 評 価 額 評 価 額 評 価 額 変 動 率 H26.1.1~ H26.1.1 基 準 H26.7.1 修 正 H23.7.1 修 正 B/C-1 H26.7.1

More information

18 国立高等専門学校機構

18 国立高等専門学校機構 様 式 1 公 表 されるべき 事 項 独 立 行 政 法 人 国 立 高 等 専 門 学 校 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 当 機 構 役 員 給 与 規 則 で 文 部 科

More information

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定 62 (Q&A) 目 次 1 鑑 定 評 価 の 委 託 は 入 札 か 随 意 契 約 か またその 理 由 は 何 か 2 委 託 料 は 他 県 と 比 べて 妥 当 性 のある 金 額 か 3 地 価 公 示 ( 国 の 調 査 )との 違 いは 何 か また 国 の 調 査 結 果 はどう 活 用 しているか 4 路 線 価 を 利 用 しない 理 由 は 何 か 5 委 託 料 の 算

More information

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施 北 部 大 阪 都 市 画 事 業 JR 高 槻 駅 北 東 土 区 画 整 理 事 業 事 業 画 書 高 槻 市 JR 高 槻 駅 北 東 土 区 画 整 理 組 合 目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行

More information

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や 参 考 資 料 1-17 民 間 都 市 整 備 事 業 建 築 計 画 に 関 わる 関 連 制 度 の 整 理 都 市 開 発 諸 制 度 には 公 開 空 地 の 確 保 など 公 共 的 な 貢 献 を 行 う 建 築 計 画 に 対 して 容 積 率 や 斜 線 制 限 などの 建 築 基 準 法 に 定 める 形 態 規 制 を 緩 和 することにより 市 街 地 環 境 の 向 上 に

More information

別 紙 第 号 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 議 案 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 を 次 のように 定 める 平 成 26 年 2 月 日 提 出 高 知 県 知 事 尾

別 紙 第 号 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 議 案 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 を 次 のように 定 める 平 成 26 年 2 月 日 提 出 高 知 県 知 事 尾 付 議 第 3 号 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 議 案 に 係 る 意 見 聴 取 に 関 する 議 案 平 成 26 年 2 月 高 知 県 議 会 定 例 会 提 出 予 定 の 条 例 議 案 に 係 る 地 方 教 育 行 政 の 組 織 及 び 運 営 に 関 する 法 律 ( 昭 和 31 年 法 律 第 162 号 )

More information

公表表紙

公表表紙 国 立 大 学 法 人 山 梨 大 学 の 役 の 報 酬 給 与 等 について 国 立 大 学 法 人 等 の 役 員 の 報 酬 等 及 び の 給 与 の 水 準 の 公 表 方 法 等 について(ガイドライン) ( 平 成 17 年 2 月 7 日, 総 務 大 臣 策 定 )に 基 づく 公 表 平 成 26 年 9 月 国 立 大 学 法 人 山 梨 大 学 国 立 大 学 法 人 山

More information

第4回税制調査会 総4-1

第4回税制調査会 総4-1 平 成 25 年 12 月 国 際 課 税 原 則 の 総 合 主 義 から 帰 属 主 義 への 見 直 し 税 制 調 査 会 国 際 課 税 ディスカッショングループ 国 際 課 税 原 則 の 総 合 主 義 から 帰 属 主 義 への 見 直 し 1. 見 直 しの 背 景 意 義 外 国 法 人 及 び 非 居 住 者 ( 以 下 外 国 法 人 等 という )に 対 する 課 税 原

More information

者 が 在 学 した 期 間 の 年 数 を 乗 じて 得 た 額 から 当 該 者 が 在 学 した 期 間 に 納 付 すべき 授 業 料 の 総 額 を 控 除 した 額 を 徴 収 するものとする 3 在 学 生 が 長 期 履 修 学 生 として 認 められた 場 合 の 授 業 料 の

者 が 在 学 した 期 間 の 年 数 を 乗 じて 得 た 額 から 当 該 者 が 在 学 した 期 間 に 納 付 すべき 授 業 料 の 総 額 を 控 除 した 額 を 徴 収 するものとする 3 在 学 生 が 長 期 履 修 学 生 として 認 められた 場 合 の 授 業 料 の 公 立 大 学 法 人 首 都 大 学 東 京 の 平 成 17 年 度 法 人 規 則 第 43 号 制 定 平 成 17 年 4 月 1 日 ( 目 的 ) 第 1 条 この 規 則 は 公 立 大 学 法 人 首 都 大 学 東 京 ( 以 下 法 人 という )が 徴 収 する 授 業 料 その 他 の 料 金 について 定 めることを 目 的 とする ( 料 金 ) 第 2 条 法 人 の

More information

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料 別 添 72 後 退 灯 の 技 術 基 準 1. 適 用 範 囲 等 この 技 術 基 準 は 自 動 車 に 備 える 後 退 灯 に 適 用 する( 保 安 基 準 第 40 条 関 係 ) ただし 法 第 75 条 の2 第 1 項 の 規 定 によりその 型 式 について 指 定 を 受 けた 白 色 の 前 部 霧 灯 が 後 退 灯 として 取 付 けられている 自 動 車 にあっては

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

Taro-事務処理要綱250820

Taro-事務処理要綱250820 大 分 県 沿 岸 漁 業 改 善 資 金 事 務 処 理 要 綱 第 1 章 総 則 ( 目 的 ) 第 1 条 知 事 は 沿 岸 漁 業 改 善 資 金 の 貸 付 事 務 を 円 滑 に 処 理 するため 沿 岸 漁 業 改 善 資 金 助 成 法 ( 昭 和 54 年 法 律 第 25 号 ) 沿 岸 漁 業 改 善 資 金 助 成 法 施 行 令 ( 昭 和 54 年 政 令 第 124

More information

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ Ⅰ 調 査 の 概 要 Ⅱ 札 幌 の 子 どもの 学 力 学 習 意 欲 等 について Ⅲ 学 力 調 査 の 結 果 概 要 及 び 改 善 の 方 向 等 について Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果

More information

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑 等 の 当 初 見 直 し 案 の 検 討 状 況 について 資 料 1-1 項 目 名 検 討 検 討 の 進 め 方 検 討 状 況 都 道 府 県 担 当 者 との 意 見 交 換 では 結 果 精 度 の 低 下 に 伴 い 結 果 が 活 用 されなくなった 場 合 の 員 のモチベーション 低 下 の 可 能 性 や 員 の 配 置 換 え 等 についての 考 慮 が 必 要 との 意

More information

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環 資 料 2-2 容 積 率 規 制 等 について Ministry of Land, Infrastructure, Transport and Tourism 容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保

More information

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定 射 水 市 建 設 工 事 施 行 に 関 する 工 事 成 績 評 定 要 領 平 成 8 年 3 月 7 告 示 第 44 号 ( 目 的 ) 第 条 この 要 領 は 射 水 市 が 所 掌 する 工 事 の 成 績 評 定 ( 以 下 評 定 という )に 必 要 な 事 項 を 定 め 公 正 かつ 的 確 な 評 定 を 行 うことにより もって 請 負 業 者 の 選 定 及 び 指

More information

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の 地 域 づくり 一 括 交 付 金 の 交 付 に 関 する 要 綱 ( 趣 旨 ) 第 1 条 この 要 綱 は 川 西 市 地 域 分 権 の 推 進 に 関 する 条 例 ( 平 成 26 年 川 西 市 条 例 第 10 号 以 下 条 例 という ) 第 14 条 の 規 定 に 基 づく 地 域 づくり 一 括 交 付 金 ( 以 下 交 付 金 という )の 交 付 に 関 し 必 要

More information

定款  変更

定款  変更 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 第 1 章 総 則 ( 名 称 ) 第 1 条 この 法 人 は 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 ( 以 下 公 社 という )と 称 する ( 事 務 所 ) 第 2 条 公

More information

個人住民税徴収対策会議

個人住民税徴収対策会議 個 人 住 民 税 徴 収 対 策 会 議 平 成 26 年 8 月 7 日 税 収 に 占 める 個 人 住 民 税 の 割 合 個 人 住 民 税 は 県 市 町 村 いずれも 税 収 の 約 3 割 を 占 めており 重 要 な 財 源 となっている 岡 山 県 現 年 繰 越 合 計 市 町 村 その 他 18.5% 自 動 車 税 13.1% 個 人 県 民 税 29.6% その 他 15.0%

More information

3. 選 任 固 定 資 産 評 価 員 は 固 定 資 産 の 評 価 に 関 する 知 識 及 び 経 験 を 有 する 者 のうちから 市 町 村 長 が 当 該 市 町 村 の 議 会 の 同 意 を 得 て 選 任 する 二 以 上 の 市 町 村 の 長 は 当 該 市 町 村 の 議

3. 選 任 固 定 資 産 評 価 員 は 固 定 資 産 の 評 価 に 関 する 知 識 及 び 経 験 を 有 する 者 のうちから 市 町 村 長 が 当 該 市 町 村 の 議 会 の 同 意 を 得 て 選 任 する 二 以 上 の 市 町 村 の 長 は 当 該 市 町 村 の 議 第 6 回 税 理 士 試 験 固 定 資 産 税 はじめに 第 一 問 については 個 別 理 論 題 の 出 題 であった 1については 固 定 資 産 評 価 員 及 び 固 定 資 産 評 価 補 助 員 に 関 する 出 題 であったが 個 別 理 論 での 出 題 であり 判 断 に 迷 う 点 もなく 高 得 点 を 取 ることが 可 能 な 問 題 であった については 区 分 所

More information

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし 3 会 計 基 準 の 見 直 しの 主 な 内 容 (1) 借 入 金 借 入 金 制 度 を 廃 止 し 建 設 又 は 改 良 に 要 する 資 金 に 充 てるための 企 業 債 及 び 一 般 会 計 又 は 他 の 特 別 会 計 からの 長 期 借 入 金 は に 計 上 することとなりまし た に 計 上 するに 当 たり 建 設 又 は 改 良 等 に 充 てられた 企 業 債 及

More information

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定 資 料 10 減 損 損 失 及 び 資 本 金 の 変 動 に 関 する 調 査 記 載 上 の 注 意 事 項 当 調 査 は 減 損 損 失 を 認 識 するに 至 った 経 緯 資 本 金 の の 変 動 等 を 把 握 するために 調 査 する ものである 調 査 対 象 は 地 方 公 営 企 業 状 況 調 査 の 対 象 となっている 法 適 用 企 業 とする Ⅰ 提 出 物 について

More information

1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル( 案 )の 構 成 構 成 記 載 内 容 第 1 章 はじめに 本 マニュアルの 目 的 記 載 内 容 について 説 明 しています 第 2 章 第 3 章 第 4 章 第 5 章 第 6 章 林 地

1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル( 案 )の 構 成 構 成 記 載 内 容 第 1 章 はじめに 本 マニュアルの 目 的 記 載 内 容 について 説 明 しています 第 2 章 第 3 章 第 4 章 第 5 章 第 6 章 林 地 ( 資 料 3) 林 地 台 帳 及 び 地 図 整 備 マニュアル( 案 ) 概 要 本 資 料 は 現 時 点 での 検 討 状 況 を 基 に 作 成 したものであり 今 後 事 務 レベルの 検 討 会 等 を 経 て 成 案 を 得 ることとしてい ます 平 成 28 年 7 月 林 野 庁 計 画 課 1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル(

More information

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農 国 営 かんがい 排 水 事 業 石 垣 島 地 区 事 業 の 概 要 本 事 業 は 沖 縄 本 島 から 南 西 約 400kmにある 石 垣 島 に 位 置 する 石 垣 市 の4,338haの 農 業 地 帯 において 農 業 用 水 の 安 定 供 給 を 図 るため 農 業 水 利 施 設 の 改 修 整 備 を 行 うものである 事 業 の 目 的 必 要 性 本 地 区 は さとうきびを

More information

別記

別記 富 山 大 学 における 授 業 料 その 他 の 費 用 に 関 する 規 則 平 成 17 年 10 月 1 日 制 定 平 成 18 年 4 月 1 日 改 正 平 成 18 年 9 月 21 日 改 正 平 成 19 年 4 月 1 日 改 正 平 成 20 年 4 月 1 日 改 正 平 成 21 年 4 月 1 日 改 正 平 成 22 年 4 月 1 日 改 正 平 成 27 年 4

More information

平成17年度高知県県産材利用推進事業費補助金交付要綱

平成17年度高知県県産材利用推進事業費補助金交付要綱 高 知 県 副 業 型 林 家 育 成 支 援 事 業 募 集 要 領 第 1 趣 旨 この 要 領 は 高 知 県 副 業 型 林 家 育 成 支 援 事 業 費 補 助 金 交 付 要 綱 に 基 づき 当 該 補 助 金 の 交 付 の 対 象 となる 事 業 者 を 公 募 して 選 定 する 手 続 等 当 該 事 業 の 円 滑 な 実 施 を 図 るために 必 要 な 事 項 を 定

More information

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene.

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene. 平 成 23 年 3 月 期 第 1 四 半 期 決 算 短 信 日 本 基 準 ( 連 結 ) 平 成 22 年 8 月 11 日 上 場 会 社 名 松 井 建 設 株 式 会 社 上 場 取 引 所 東 コ ー ド 番 号 1810 URL http://www.matsui-ken.co.jp/ 代 表 者 ( 役 職 名 ) 取 締 役 社 長 ( 氏 名 ) 松 井 隆 弘 ( 役 職

More information

(2) 単 身 者 向 け 以 外 の 賃 貸 共 同 住 宅 等 当 該 建 物 に 対 して 新 たに 固 定 資 産 税 等 が 課 税 される 年 から 起 算 して5 年 間 とする ( 交 付 申 請 及 び 決 定 ) 第 5 条 補 助 金 の 交 付 を 受 けようとする 者 は

(2) 単 身 者 向 け 以 外 の 賃 貸 共 同 住 宅 等 当 該 建 物 に 対 して 新 たに 固 定 資 産 税 等 が 課 税 される 年 から 起 算 して5 年 間 とする ( 交 付 申 請 及 び 決 定 ) 第 5 条 補 助 金 の 交 付 を 受 けようとする 者 は 加 西 市 賃 貸 共 同 住 宅 等 建 設 促 進 補 助 金 交 付 要 綱 ( 目 的 ) 第 1 条 この 要 綱 は 賃 貸 共 同 住 宅 等 を 新 築 した 者 に 対 して 補 助 金 を 交 付 することにより 賃 貸 共 同 住 宅 等 の 建 設 を 促 進 し 人 口 の 増 加 に 資 することを 目 的 とする ( 定 義 ) 第 2 条 この 要 綱 において 次

More information

<4D6963726F736F667420576F7264202D208DE3905F8D8291AC8B5A8CA48A948EAE89EF8ED0208BC696B18BA492CA8E64976C8F91816995BD90AC3237944E378C8E89FC92F994C5816A>

<4D6963726F736F667420576F7264202D208DE3905F8D8291AC8B5A8CA48A948EAE89EF8ED0208BC696B18BA492CA8E64976C8F91816995BD90AC3237944E378C8E89FC92F994C5816A> 第 1 編 共 通 業 務 共 通 仕 様 書 平 成 27 年 7 月 第 1 章 一 般 1.1 目 的 業 務 共 通 仕 様 書 ( 以 下 技 研 仕 様 書 という )は 阪 神 高 速 技 研 株 式 会 社 ( 以 下 会 社 という )が 発 注 する 調 査 検 討 資 料 作 成 設 計 補 助 測 量 作 業 その 他 こ れらに 類 する 業 務 に 係 る 業 務 請 負

More information

文化政策情報システムの運用等

文化政策情報システムの運用等 名 開 始 終 了 ( 予 定 ) 年 度 番 号 0406 平 成 25 年 行 政 レビューシート ( 文 部 科 学 省 ) 文 化 政 策 情 報 システム 運 用 等 担 当 部 局 庁 文 化 庁 作 成 責 任 者 平 成 8 年 度 なし 担 当 課 室 長 官 官 房 政 策 課 政 策 課 長 清 水 明 会 計 区 分 一 般 会 計 政 策 施 策 名 根 拠 法 令 ( 具

More information

m07 北見工業大学 様式①

m07 北見工業大学 様式① 国 立 大 学 法 人 北 見 工 業 大 学 ( 法 人 番 号 6460305000387)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 当 該 法 人 の 主 要 事 業 は 教 育 研 究 事 業 である 役

More information

入 札 参 加 者 は 入 札 の 執 行 完 了 に 至 るまではいつでも 入 札 を 辞 退 することができ これを 理 由 として 以 降 の 指 名 等 において 不 利 益 な 取 扱 いを 受 けることはない 12 入 札 保 証 金 免 除 13 契 約 保 証 金 免 除 14 入

入 札 参 加 者 は 入 札 の 執 行 完 了 に 至 るまではいつでも 入 札 を 辞 退 することができ これを 理 由 として 以 降 の 指 名 等 において 不 利 益 な 取 扱 いを 受 けることはない 12 入 札 保 証 金 免 除 13 契 約 保 証 金 免 除 14 入 入 札 公 告 次 のとおり 一 般 競 争 入 札 に 付 します なお 本 業 務 の 契 約 締 結 は 当 該 業 務 に 係 る 平 成 27 年 度 予 算 の 執 行 が 可 能 となってい ることを 条 件 とします 平 成 27 年 2 月 17 日 独 立 行 政 法 人 鉄 道 建 設 運 輸 施 設 整 備 支 援 機 構 契 約 担 当 役 鉄 道 建 設 本 部 九 州

More information

<4D6963726F736F667420506F776572506F696E74202D203034308350815B83588358835E8366834228926E88E68C9A90DD8BC65F928695944832362E332983418343835683938DC58F4994C52E70707478>

<4D6963726F736F667420506F776572506F696E74202D203034308350815B83588358835E8366834228926E88E68C9A90DD8BC65F928695944832362E332983418343835683938DC58F4994C52E70707478> CI-NET 導 入 事 例 ( 地 域 総 合 建 設 企 業 中 部 ) 一 般 財 団 法 人 建 設 業 振 興 基 金 情 報 化 評 議 会 平 成 26 年 3 月 Copyright 1997.6-,CI-NET All rights reserved. 0 本 事 例 の 特 徴 コンプライアンスの 向 上 を 目 的 とした 電 子 化 への 取 り 組 み CI-NETの 導

More information

募集新株予約権(有償ストック・オプション)の発行に関するお知らせ

募集新株予約権(有償ストック・オプション)の発行に関するお知らせ 各 位 平 成 28 年 6 月 24 日 会 社 名 株 式 会 社 モバイルファクトリー 代 表 者 名 代 表 取 締 役 宮 嶌 裕 二 (コード 番 号 3912 東 証 マザーズ) 問 合 せ 先 執 行 役 員 計 数 管 理 部 長 谷 本 洋 (TEL. 03-3447-1181) 募 集 新 株 予 約 権 ( 有 償 ストック オプション)の 発 行 に 関 するお 知 らせ

More information

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図 平 成 2 8 年 3 月 25 日 NACSIS-CAT 検 討 作 業 部 会 NACSIS-CAT/ILL の 軽 量 化 合 理 化 について( 基 本 方 針 )( 案 ) これからの 学 術 情 報 システム 構 築 検 討 委 員 会 ( 以 下, これから 委 員 会 ) は これか らの 学 術 情 報 システムの 在 り 方 について ( 平 成 27 年 5 月 29 日 )

More information

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可 ミスミグループ コーポレートガバナンス 基 本 方 針 本 基 本 方 針 は ミスミグループ( 以 下 当 社 グループ という)のコーポレートガバナン スに 関 する 基 本 的 な 考 え 方 を 定 めるものである 1. コーポレートガバナンスの 原 則 (1) 当 社 グループのコーポレートガバナンスは 当 社 グループの 持 続 的 な 成 長 と 中 長 期 的 な 企 業 価 値 の

More information

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加 別 添 事 務 連 絡 平 成 27 年 12 月 18 日 日 本 年 金 機 構 厚 生 年 金 保 険 部 長 殿 厚 生 労 働 省 年 金 局 事 業 管 理 課 長 持 続 可 能 な 医 療 保 険 制 度 を 構 築 するための 国 民 健 康 保 険 法 等 の 一 部 を 改 正 する 法 律 による 健 康 保 険 法 及 び 船 員 保 険 法 改 正 内 容 の 一 部 に

More information

<5461726F2D91E6343089F18BDF91E389BB955C8E86208169979D8E9689EF2E>

<5461726F2D91E6343089F18BDF91E389BB955C8E86208169979D8E9689EF2E> 平 成 28 年 度 ( 第 40 回 ) 奈 良 県 近 代 化 基 金 融 資 推 薦 申 込 み 公 募 要 綱 公 募 期 間 融 資 公 募 枠 総 枠 3 億 円 平 成 28 年 6 月 15 日 ( 水 )~ 平 成 28 年 9 月 30 日 ( 金 ) 融 資 対 象 事 業 近 代 化 基 金 1.トラックターミナル 配 送 センター 等 の 物 流 施 設 の 整 備 に 要

More information

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73>

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73> 国 立 大 学 法 人 茨 城 大 学 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 24 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 役 員 に 支 給 される 給 与 のうち 期 末 特 別 手 当 については 国 立 大 学 評 価 委 員 会

More information

財団法人○○会における最初の評議員の選任方法(案)

財団法人○○会における最初の評議員の選任方法(案) 一 般 財 団 法 人 生 産 科 学 研 究 奨 励 会 定 款 第 1 章 総 則 ( 名 称 ) 第 1 条 この 法 人 は 一 般 財 団 法 人 生 産 科 学 研 究 奨 励 会 という ( 事 務 所 ) 第 2 条 この 法 人 は 事 務 所 を 福 岡 市 東 区 松 香 台 1 丁 目 10 番 1 号 におく 第 2 章 目 的 及 び 事 業 ( 目 的 ) 第 3 条

More information

6 構 造 等 コンクリートブロック 造 平 屋 建 て4 戸 長 屋 16 棟 64 戸 建 築 年 1 戸 当 床 面 積 棟 数 住 戸 改 善 後 床 面 積 昭 和 42 年 36.00m2 4 50.40m2 昭 和 43 年 36.50m2 3 50.90m2 昭 和 44 年 36.

6 構 造 等 コンクリートブロック 造 平 屋 建 て4 戸 長 屋 16 棟 64 戸 建 築 年 1 戸 当 床 面 積 棟 数 住 戸 改 善 後 床 面 積 昭 和 42 年 36.00m2 4 50.40m2 昭 和 43 年 36.50m2 3 50.90m2 昭 和 44 年 36. 市 営 住 宅 建 替 えPFI 等 導 入 可 能 性 調 査 業 務 委 託 仕 様 書 1. 適 用 範 囲 本 仕 様 書 は 十 和 田 市 ( 以 下 発 注 者 という )が 実 施 する 市 営 住 宅 建 替 えPFI 等 導 入 可 能 性 調 査 業 務 委 託 ( 以 下 本 業 務 委 託 という )に 適 用 するものとする 2. 業 務 目 的 十 和 田 市 営 住

More information

(3) その 他 市 長 が 必 要 と 認 める 書 類 ( 補 助 金 の 交 付 決 定 ) 第 6 条 市 長 は 前 条 の 申 請 書 を 受 理 したときは 速 やかにその 内 容 を 審 査 し 補 助 金 を 交 付 すべきものと 認 めたときは 規 則 第 7 条 に 規 定 す

(3) その 他 市 長 が 必 要 と 認 める 書 類 ( 補 助 金 の 交 付 決 定 ) 第 6 条 市 長 は 前 条 の 申 請 書 を 受 理 したときは 速 やかにその 内 容 を 審 査 し 補 助 金 を 交 付 すべきものと 認 めたときは 規 則 第 7 条 に 規 定 す 篠 山 市 防 犯 カメラ 設 置 費 補 助 金 交 付 要 綱 平 成 25 年 9 月 30 日 要 綱 第 55 号 ( 趣 旨 ) 第 1 条 この 要 綱 は 市 民 が 安 心 して 暮 らせるまちづくりを 目 指 し 自 主 防 犯 活 動 を 補 完 するため 防 犯 カメラの 設 置 に 係 る 経 費 の 一 部 を 補 助 することに 関 し 篠 山 市 補 助 金 交 付

More information

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63>

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63> 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 平 成 27 年 6 月 18 日 一 般 社 団 法 人 日 本 電 設 工 業 協 会 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 について 1. 調 査 の 目 的 社 会 保 険 加 入 促 進 計 画 の 計 画 期 間 (H24 年 度 ~H28 年 度 までの5 年 間 )の 中 間 時 点 として 1

More information

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378>

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378> 平 成 27 年 度 施 策 評 価 調 書 施 策 の 名 称 等 整 理 番 号 22 評 価 担 当 課 営 業 戦 略 課 職 氏 名 施 策 名 ( 基 本 事 業 ) 商 業 の 活 性 化 総 合 計 画 の 位 置 づけ 基 本 目 主 要 施 策 4 想 像 力 と 活 力 にあふれたまちづくり 商 業 の 振 興 2 施 策 の 現 状 分 析 と 意 図 施 策 の 対 象 意

More information

<4D6963726F736F667420576F7264202D208169959F93878CA797708F4390B3816A819A95CA8B4C976C8EAE91E682538B4C8DDA97E12E646F6378>

<4D6963726F736F667420576F7264202D208169959F93878CA797708F4390B3816A819A95CA8B4C976C8EAE91E682538B4C8DDA97E12E646F6378> ( 別 様 式 第 4の1-1/3-) 復 興 産 業 集 積 区 域 における 研 究 開 発 税 制 の 特 例 等 ( 法 第 39 条 ) 指 定 を 行 った 認 定 地 方 公 共 法 人 の 場 合 事 業 年 度 又 は 連 結 事 業 年 度 終 了 後 団 体 の 長 の 氏 名 を 載 してく 1か 月 以 内 に 提 出 し ださい 個 人 の 場 合 事 業 年 度 ( 暦

More information

16 日本学生支援機構

16 日本学生支援機構 様 式 1 公 表 されるべき 事 項 独 立 行 政 法 人 日 本 学 生 支 援 機 構 ( 法 人 番 号 7020005004962)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 日 本 学 生 支 援 機

More information

Microsoft Word - 交野市産業振興基本計画 20140320.doc

Microsoft Word - 交野市産業振興基本計画 20140320.doc 資 料 基 本 条 例 P36 規 則 P38 委 員 名 簿 P39 基 本 計 画 の 策 定 に 係 る 経 過 P40-35 - 基 本 条 例 ( 目 的 ) 第 1 条 この 条 例 は 交 野 の 自 然 環 境 歴 史 文 化 市 民 力 等 の 地 域 資 源 を 有 効 活 用 した 地 域 産 業 の 振 興 についての 基 本 となる 事 項 を 定 め 市 事 業 者 及

More information

弁護士報酬規定(抜粋)

弁護士報酬規定(抜粋) はなみずき 法 律 事 務 所 弁 護 士 報 酬 規 定 ( 抜 粋 ) 2008 年 10 月 改 訂 2014 年 4 月 * 以 下 の 弁 護 士 報 酬 は いずれも 税 込 です ただし D E L の2の 表 に 基 づき 算 出 さ れた 金 額 については 消 費 税 を 上 乗 せした 額 を 弁 護 士 報 酬 とします 目 次 A 法 律 相 談 料 B 顧 問 料 C 手

More information

平成19年9月改定

平成19年9月改定 参 考 建 設 工 事 に 係 る 資 源 の 再 資 源 化 等 に 関 する 法 律 ( 建 設 リサイクル 法 )に 基 づく 通 知 及 び 契 約 書 の 記 載 事 項 等 に 関 する 取 扱 要 領 技 術 計 画 課 作 成 平 成 14 年 5 月 30 日 改 正 平 成 15 年 5 月 30 日 1. 建 設 リサイクル 法 の 目 的 特 定 の 建 設 資 材 について

More information

スライド 1

スライド 1 社 会 保 障 税 一 体 改 革 における 年 金 関 連 法 案 について 年 金 機 能 強 化 法 案 (3 月 30 日 提 出 ) 国 会 提 出 時 の 法 案 の 内 容 1 基 礎 年 金 国 庫 負 担 2 分 の1の 恒 久 化 2 受 給 資 格 期 間 の 短 縮 (25 年 10 年 ) 3 産 休 期 間 中 の 社 会 保 険 料 免 除 4 遺 族 基 礎 年 金

More information

一般競争入札について

一般競争入札について ( 一 般 競 争 入 札 ) 総 合 評 価 落 札 方 式 ガイドライン 平 成 21 年 4 月 ( 独 ) 工 業 所 有 権 情 報 研 修 館 1.はじめに 現 在 公 共 調 達 の 透 明 性 公 正 性 をより 一 層 めることが 喫 緊 の 課 題 とな っており 独 立 行 政 法 人 も 含 めた 政 府 全 体 で 随 意 契 約 の 見 直 しに 取 り 組 んで おります

More information

の 基 礎 の 欄 にも 記 載 します ア 法 人 税 の 中 間 申 告 書 に 係 る 申 告 の 場 合 は 中 間 イ 法 人 税 の 確 定 申 告 書 ( 退 職 年 金 等 積 立 金 に 係 るものを 除 きます ) 又 は 連 結 確 定 申 告 書 に 係 る 申 告 の 場

の 基 礎 の 欄 にも 記 載 します ア 法 人 税 の 中 間 申 告 書 に 係 る 申 告 の 場 合 は 中 間 イ 法 人 税 の 確 定 申 告 書 ( 退 職 年 金 等 積 立 金 に 係 るものを 除 きます ) 又 は 連 結 確 定 申 告 書 に 係 る 申 告 の 場 第 20 号 様 式 記 載 の 手 引 1 この 申 告 書 の 用 途 等 (1) この 申 告 書 は 仮 決 算 に 基 づく 中 間 申 告 ( 連 結 法 人 以 外 の 法 人 が 行 う 中 間 申 告 に 限 ります ) 確 定 した 決 算 に 基 づく 確 定 申 告 及 びこれらに 係 る 修 正 申 告 をする 場 合 に 使 用 します (2) この 申 告 書 は 千

More information

の 購 入 費 又 は 賃 借 料 (2) 専 用 ポール 等 機 器 の 設 置 工 事 費 (3) ケーブル 設 置 工 事 費 (4) 防 犯 カメラの 設 置 を 示 す 看 板 等 の 設 置 費 (5) その 他 設 置 に 必 要 な 経 費 ( 補 助 金 の 額 ) 第 6 条 補

の 購 入 費 又 は 賃 借 料 (2) 専 用 ポール 等 機 器 の 設 置 工 事 費 (3) ケーブル 設 置 工 事 費 (4) 防 犯 カメラの 設 置 を 示 す 看 板 等 の 設 置 費 (5) その 他 設 置 に 必 要 な 経 費 ( 補 助 金 の 額 ) 第 6 条 補 美 作 市 防 犯 カメラ 設 置 支 援 事 業 補 助 金 交 付 要 綱 ( 趣 旨 ) 第 1 条 この 告 示 は 地 域 の 防 犯 活 動 を 推 進 し 安 全 安 心 のまちづくりの 実 現 を 図 るため 犯 罪 等 の 防 止 を 目 的 に 防 犯 カメラの 設 置 を 行 う 住 民 団 体 に 対 し 予 算 の 範 囲 内 において その 設 置 に 要 する 経 費

More information

表紙

表紙 現 況 の 指 定 容 積 率 をすべて 使 い 切 った 場 合 に 現 況 容 積 率 の 2.25 倍 以 上 になるかどうかを 確 認 する 現 況 の 街 区 面 積 は 3,569,759m2 延 べ 床 面 積 は 3,569,759m2であるから 目 標 とする 延 べ 床 面 積 はその 2.25 倍 の 8,031,958m2である 一 方 指 定 容 積 率 をすべて 使 い

More information

佐渡市都市計画区域の見直し

佐渡市都市計画区域の見直し 都 市 計 画 区 域 の 拡 大 について 佐 渡 市 建 設 課 都 市 計 画 とは 土 地 の 使 い 方 や 建 物 の 建 て 方 についての ルールをはじめ まちづくりに 必 要 なことがら について 総 合 的 一 体 的 に 定 め まちづく り 全 体 を 秩 序 だてて 進 めていくことを 目 的 と した 都 市 計 画 法 という 法 律 で 定 められた 計 画 です 住

More information

損 益 計 算 書 自. 平 成 26 年 4 月 1 日 至. 平 成 27 年 3 月 31 日 科 目 内 訳 金 額 千 円 千 円 営 業 収 益 6,167,402 委 託 者 報 酬 4,328,295 運 用 受 託 報 酬 1,839,106 営 業 費 用 3,911,389 一

損 益 計 算 書 自. 平 成 26 年 4 月 1 日 至. 平 成 27 年 3 月 31 日 科 目 内 訳 金 額 千 円 千 円 営 業 収 益 6,167,402 委 託 者 報 酬 4,328,295 運 用 受 託 報 酬 1,839,106 営 業 費 用 3,911,389 一 貸 借 対 照 表 平 成 27 年 3 月 31 日 現 在 資 産 の 部 負 債 の 部 科 目 内 訳 金 額 科 目 内 訳 金 額 流 動 資 産 千 円 千 円 流 動 負 債 千 円 千 円 預 金 5,145,515 預 り 金 240, 有 価 証 券 2,000,000 未 払 金 274, 前 払 費 用 61,184 未 払 収 益 分 配 金 789 未 収 入 金 未

More information

01.活性化計画(上大久保)

01.活性化計画(上大久保) 別 記 様 式 第 1 号 ( 第 四 関 係 ) か み お お く ぼ 上 大 久 保 ち く 地 区 か っ せ い か 活 性 化 け い か く 計 画 栃 木 県 鹿 沼 市 平 成 26 年 2 月 1 活 性 化 計 画 の 目 標 及 び 計 画 期 間 計 画 の 名 称 上 大 久 保 地 区 活 性 化 計 画 都 道 府 県 名 栃 木 県 市 町 村 名 鹿 沼 市 地

More information

Microsoft Word - 目次.doc

Microsoft Word - 目次.doc 長 寿 医 療 制 度 と 国 民 健 康 保 険 一 体 化 に 関 する 舛 添 大 臣 私 案 イメージ < 現 行 > < 見 直 し 後 > 75 歳 長 寿 医 療 制 度 ( 県 単 位 広 域 連 合 ) 長 寿 医 療 ( 都 道 府 県 ) 1 両 者 を 一 体 化 し 都 道 府 県 が 運 営 75 歳 65 歳 被 用 者 保 険 から 財 政 調 整 国 保 国 保 被

More information

<4D F736F F D2095BD90AC E937890C590A789FC90B382CC8EE582C893E09765>

<4D F736F F D2095BD90AC E937890C590A789FC90B382CC8EE582C893E09765> 平 成 27 年 度 税 制 改 正 の 主 な 内 容 実 施 年 度 等 にご 注 意 ください 1 軽 自 動 車 税 の 見 直 し 2 住 宅 ローン 減 税 の 期 限 延 長 3 納 税 に 係 る 特 例 額 の 拡 充 及 び 申 告 手 続 きの 簡 素 化 4 固 定 資 産 税 等 の 負 担 調 整 措 置 及 び 特 例 措 置 5 旧 3 級 品 の 製 造 たばこに

More information

(15) 兵 庫 県 道 高 速 湾 岸 線 (16) 神 戸 市 道 高 速 道 路 2 号 線 (17) 兵 庫 県 道 高 速 北 神 戸 線 (18) 神 戸 市 道 高 速 道 路 北 神 戸 線 (19) 神 戸 市 道 高 速 道 路 湾 岸 線 のうち 上 り 線 については 神 戸

(15) 兵 庫 県 道 高 速 湾 岸 線 (16) 神 戸 市 道 高 速 道 路 2 号 線 (17) 兵 庫 県 道 高 速 北 神 戸 線 (18) 神 戸 市 道 高 速 道 路 北 神 戸 線 (19) 神 戸 市 道 高 速 道 路 湾 岸 線 のうち 上 り 線 については 神 戸 大 阪 府 道 高 速 大 阪 池 田 線 等 に 関 する 協 定 独 立 行 政 法 人 日 本 高 速 道 路 保 有 債 務 返 済 機 構 ( 以 下 機 構 という )と 阪 神 高 速 道 路 株 式 会 社 ( 以 下 会 社 という )は 高 速 道 路 株 式 会 社 法 ( 平 成 16 年 法 律 第 99 号 以 下 道 路 会 社 法 という ) 第 6 条 第 1 項

More information

2. 会 計 規 程 の 業 務 (1) 規 程 と 実 際 の 業 務 の 調 査 規 程 や 運 用 方 針 に 規 定 されている 業 務 ( 帳 票 )が 実 際 に 行 われているか( 作 成 されている か)どうかについて 調 べてみた 以 下 の 表 は 規 程 の 条 項 とそこに

2. 会 計 規 程 の 業 務 (1) 規 程 と 実 際 の 業 務 の 調 査 規 程 や 運 用 方 針 に 規 定 されている 業 務 ( 帳 票 )が 実 際 に 行 われているか( 作 成 されている か)どうかについて 調 べてみた 以 下 の 表 は 規 程 の 条 項 とそこに 第 7 章 会 計 規 程 1. 会 計 規 程 の 概 要 (1) 規 程 及 び 目 的 平 成 18 年 度 に 病 院 事 業 管 理 者 を 設 置 して 札 幌 市 病 院 局 会 計 規 程 ( 平 成 18 年 札 幌 市 病 院 局 規 程 第 37 号 以 下 この 章 で 規 程 という )を 定 め これに 則 って 会 計 処 理 が 行 わ れていなお 規 程 の 具 体

More information

●幼児教育振興法案

●幼児教育振興法案 第 一 九 〇 回 衆 第 五 〇 号 幼 児 教 育 振 興 法 案 目 次 前 文 第 一 章 総 則 ( 第 一 条 - 第 八 条 ) 第 二 章 幼 児 教 育 振 興 基 本 方 針 等 ( 第 九 条 第 十 条 ) 第 三 章 基 本 的 施 策 ( 第 十 一 条 - 第 十 七 条 ) 附 則 幼 児 期 において 人 は その 保 護 者 や 周 囲 の 大 人 との 愛 情

More information

<4D F736F F D F5A91EE8BC F368C8E3393FA8DC48D F C8E323893FA916493C B95AA8D CE3816A>

<4D F736F F D F5A91EE8BC F368C8E3393FA8DC48D F C8E323893FA916493C B95AA8D CE3816A> 平 成 25 年 度 国 土 交 通 省 税 制 改 正 事 項 ( 住 宅 関 係 抜 粋 ) 平 成 25 年 5 月 国 土 交 通 省 住 宅 局 平 成 25 年 度 住 宅 関 連 税 制 の 改 正 概 要 ( 主 要 事 項 目 次 ) 1. 消 費 税 率 引 上 げを 踏 まえた 住 宅 取 得 対 策 P2 1 住 宅 ローン 減 税 P2 2 投 資 型 減 税 ( 現 金

More information

<6D33335F976C8EAE825081698CF6955C9770816A2E786C73>

<6D33335F976C8EAE825081698CF6955C9770816A2E786C73> 国 立 大 学 法 人 新 潟 大 学 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 18 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 本 学 が 定 める 役 員 に 支 給 する 期 末 特 別 手 当 (ボーナス)において, 役 員 の 本 給

More information

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc)

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc) (1) 1 ア 調 査 すべき の 手 法 情 報 できる 主 要 な 眺 望 地 点 及 び 主 要 で 身 近 な 視 点 の 状 況 な 実 視 施 点 地 ( 区 点 不 域 のうち 特 周 定 辺 の 多 主 数 の 要 な なものをいう 人 々 眺 望 又 地 は 点 周 ( 辺 の 不 以 住 特 下 民 定 が 同 多 じ ) 数 の する 人 及 々が 場 び 所 対 利 で 象

More information

全設健発第     号

全設健発第     号 全 設 健 発 第 114 号 平 成 28 年 2 月 23 日 事 業 主 殿 全 国 設 計 事 務 所 健 康 保 険 組 合 理 事 長 石 井 純 公 印 省 略 健 康 保 険 法 の 改 正 の ご 案 内 等 に つ い て 時 下 益 々ご 清 栄 のこととお 慶 び 申 し 上 げます 当 健 康 保 険 組 合 の 運 営 につきましては 日 頃 よりご 協 力 いただき 厚

More information

03 平成28年度文部科学省税制改正要望事項

03 平成28年度文部科学省税制改正要望事項 平 成 28 年 度 文 部 科 学 省 税 制 改 正 要 望 事 項 平 成 27 年 8 月 28 日 H27 税 制 改 正 要 望 事 項 1. 寄 附 税 制 の 拡 充 (1) 国 立 大 学 法 人 等 への 個 人 寄 附 に 係 る 税 額 控 除 の 導 入 等 所 得 税 等 新 設 (2) 学 校 法 人 への 個 人 寄 附 に 係 る 所 得 控 除 上 限 額 の 引

More information

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている 清 瀬 市 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (25 年 度 末 ) 25 年 度 千 74,247 27,195,534 A 768,602 千 4,616,550 B 千 17.0 B/A 昨 年 度 の 件 費 率 17.3

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 株 式 会 社 化 に 伴 う から 特 定 の 員 への 株 式 譲 渡 に 係 る 課 税 関 係 と 手 続 きについて 平 成 20 年 2 月 商 工 中 金 当 資 料 は 貴 において 本 件 取 引 に 関 する 検 討 をされるに 際 して ご 参 考 のための 情 報 提 供 のみを 目 的 として 国 税 庁 の 確 認 を 受 けた 内 容 に 基 づき 商 工 中 金 が

More information

●電力自由化推進法案

●電力自由化推進法案 第 一 八 五 回 参 第 二 号 電 力 自 由 化 推 進 法 案 目 次 第 一 章 総 則 ( 第 一 条 - 第 三 条 ) 第 二 章 電 力 自 由 化 の 基 本 方 針 ( 第 四 条 - 第 九 条 ) 第 三 章 電 力 自 由 化 推 進 本 部 ( 第 十 条 - 第 十 九 条 ) 附 則 第 一 章 総 則 ( 目 的 ) 第 一 条 この 法 律 は 平 成 二 十

More information

学校教育法等の一部を改正する法律の施行に伴う文部科学省関係省令の整備に関する省令等について(通知)

学校教育法等の一部を改正する法律の施行に伴う文部科学省関係省令の整備に関する省令等について(通知) 27 文 科 初 第 1593 号 平 成 28 年 3 月 22 日 各 都 道 府 県 知 事 各 都 道 府 県 教 育 委 員 会 各 指 定 都 市 教 育 委 員 会 殿 附 属 学 校 を 置 く 各 国 立 大 学 法 人 学 長 構 造 改 革 特 別 区 域 法 第 12 条 第 1 項 の 認 定 を 受 けた 地 方 公 共 団 体 の 長 文 部 科 学 省 初 等 中 等

More information

中根・金田台地区 平成23年度補償説明業務

中根・金田台地区 平成23年度補償説明業務 簡 易 公 募 型 競 争 入 札 方 式 に 準 じた 手 続 による 手 続 開 始 掲 示 次 とおり 指 名 競 争 入 札 参 加 者 選 定 手 続 を 開 始 します 平 成 23 年 6 月 1 日 中 根 金 田 台 開 発 事 務 所 長 関 根 宣 由 1 務 概 要 (1) 務 名 中 根 金 田 台 地 区 平 成 23 年 度 補 償 説 明 務 (2) 務 内 容 研

More information

<4D F736F F D2091E F18CB48D C481698E7B90DD8F9590AC89DB816A2E646F63>

<4D F736F F D2091E F18CB48D C481698E7B90DD8F9590AC89DB816A2E646F63> 平 成 18 年 7 月 独 立 行 政 法 人 国 立 大 学 財 務 経 営 センター 国 立 大 学 法 人 等 による 国 立 大 学 財 務 経 営 センターへの 土 地 譲 渡 収 入 の 一 部 納 付 の 仕 組 みについて 国 立 大 学 法 人 等 が 国 から 出 資 された 土 地 を 譲 渡 した 場 合 文 部 科 学 大 臣 が 定 める 基 準 に より 算 定 した

More information

<4D6963726F736F667420576F7264202D2087472D3188C091538AC7979D8B4B92F6814594F292B98CF092CA81698A94816A2E646F63>

<4D6963726F736F667420576F7264202D2087472D3188C091538AC7979D8B4B92F6814594F292B98CF092CA81698A94816A2E646F63> 飛 鳥 交 通 株 式 会 社 安 全 管 理 規 程 平 成 23 年 11 月 10 日 改 定 目 次 第 一 章 総 則 第 二 章 輸 送 の 安 全 を 確 保 するための 事 業 の 運 営 の 方 針 等 第 三 章 輸 送 の 安 全 を 確 保 するための 事 業 の 実 施 及 びその 管 理 の 体 制 第 四 章 輸 送 の 安 全 を 確 保 するための 事 業 の 実

More information

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A>

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A> 恵 庭 市 教 員 住 宅 のあり 方 基 本 方 針 平 成 25 年 2 月 恵 庭 市 教 育 委 員 会 目 次 1. 教 員 住 宅 の 現 状 (1) 教 員 住 宅 の 役 割 1 (2) 教 員 住 宅 の 実 態 1 (3) 環 境 の 変 化 1 (4) 教 員 の 住 宅 事 情 1 2 2. 基 本 方 針 の 目 的 2 3.あり 方 検 討 会 議 の 答 申 内 容

More information

(2) 広 島 国 際 学 院 大 学 ( 以 下 大 学 という ) (3) 広 島 国 際 学 院 大 学 自 動 車 短 期 大 学 部 ( 以 下 短 大 という ) (4) 広 島 国 際 学 院 高 等 学 校 ( 以 下 高 校 という ) ( 学 納 金 の 種 類 ) 第 3 条

(2) 広 島 国 際 学 院 大 学 ( 以 下 大 学 という ) (3) 広 島 国 際 学 院 大 学 自 動 車 短 期 大 学 部 ( 以 下 短 大 という ) (4) 広 島 国 際 学 院 高 等 学 校 ( 以 下 高 校 という ) ( 学 納 金 の 種 類 ) 第 3 条 学 生 生 徒 等 の 納 入 金 に 関 する 規 則 平 成 15 年 12 月 16 日 規 則 第 19 号 沿 革 1 平 成 17 年 5 月 17 日 改 正 2 平 成 17 年 10 月 3 日 改 正 3 平 成 18 年 1 月 25 日 改 正 5 平 成 21 年 9 月 16 日 改 正 7 平 成 22 年 2 月 12 日 改 正 9 平 成 23 年 12 月 6

More information

001-00 セルフメディケーション推進のための一般用医薬品等に関する所得控除制度の創設(個別要望事項:HP掲載用)

001-00 セルフメディケーション推進のための一般用医薬品等に関する所得控除制度の創設(個別要望事項:HP掲載用) 平 成 28 年 度 地 方 税 制 改 正 ( 税 負 担 軽 減 措 置 等 ) 要 望 事 項 ( 新 設 拡 充 延 長 その 他 ) No 1 府 省 庁 名 厚 生 労 働 省 対 象 税 目 個 人 住 民 税 法 人 住 民 税 事 業 税 不 動 産 取 得 税 固 定 資 産 税 事 業 所 税 その 他 ( ) 要 望 項 目 名 要 望 内 容 ( 概 要 ) セルフメディケーション

More information

Microsoft Word - No.10 西村.doc

Microsoft Word - No.10 西村.doc [ 論 文 No.10] 名 古 屋 港 西 五 区 耐 震 強 化 岸 壁 (-14m) 築 造 工 事 における 桟 橋 杭 の 根 入 れ 長 の 変 更 ( 独 ) 港 湾 空 港 技 術 研 究 所 菊 池 喜 昭 ( 株 ) 地 盤 試 験 所 西 村 真 二 1. 事 例 の 概 要 名 古 屋 港 の 西 五 区 に-14mコンテナ 船 用 の 桟 橋 式 の 耐 震 強 化 岸 壁

More information

. 負 担 調 整 措 置 8 (1) 宅 地 等 調 整 固 定 資 産 税 額 宅 地 に 係 る 固 定 資 産 税 額 は 当 該 年 度 分 の 固 定 資 産 税 額 が 前 年 度 課 税 標 準 額 又 は 比 準 課 税 標 準 額 に 当 該 年 度 分 の 価 格 ( 住 宅

. 負 担 調 整 措 置 8 (1) 宅 地 等 調 整 固 定 資 産 税 額 宅 地 に 係 る 固 定 資 産 税 額 は 当 該 年 度 分 の 固 定 資 産 税 額 が 前 年 度 課 税 標 準 額 又 は 比 準 課 税 標 準 額 に 当 該 年 度 分 の 価 格 ( 住 宅 035-8900-1095-15 税 15 第 6 5 回 税 理 士 試 験 固 定 資 産 税 はじめに 第 一 問 については 負 担 調 整 措 置 及 び 情 報 開 示 並 びに 不 服 救 済 制 度 からの 出 題 であった 問 1の 負 担 調 整 措 置 については 解 答 しづらい 部 分 はあったが それ 以 外 の 部 分 は 解 答 しやすい 問 題 であ った

More information

続 に 基 づく 一 般 競 争 ( 指 名 競 争 ) 参 加 資 格 の 再 認 定 を 受 けていること ) c) 会 社 更 生 法 に 基 づき 更 生 手 続 開 始 の 申 立 てがなされている 者 又 は 民 事 再 生 法 に 基 づき 再 生 手 続 開 始 の 申 立 てがなさ

続 に 基 づく 一 般 競 争 ( 指 名 競 争 ) 参 加 資 格 の 再 認 定 を 受 けていること ) c) 会 社 更 生 法 に 基 づき 更 生 手 続 開 始 の 申 立 てがなされている 者 又 は 民 事 再 生 法 に 基 づき 再 生 手 続 開 始 の 申 立 てがなさ 簡 易 公 募 型 競 争 入 札 方 式 ( 総 合 評 価 落 札 方 式 )に 係 る 手 続 開 始 の 公 示 次 のとおり 指 名 競 争 入 札 参 加 者 の 選 定 の 手 続 を 開 始 します 平 成 28 年 9 月 20 日 分 任 支 出 負 担 行 為 担 当 官 東 北 地 方 整 備 局 秋 田 河 川 国 道 事 務 所 長 渡 邊 政 義 1. 業 務 概 要

More information

平成22年度

平成22年度 平 成 2 2 年 度 新 地 方 公 会 計 制 度 基 準 モデル による 佐 呂 間 町 の 財 務 書 類 北 海 道 佐 呂 間 町 ( 企 画 財 政 課 ) 目 次 Ⅰ 新 しい 地 方 公 会 計 制 度 の 概 要 Ⅱ 平 成 22 年 度 佐 呂 間 町 財 務 書 類 Ⅰ 新 しい 地 方 公 会 計 制 度 の 概 要 市 町 村 など 地 方 公 共 団 体 の 財 務 情

More information

4 調 査 の 対 話 内 容 (1) 調 査 対 象 財 産 の 土 地 建 物 等 を 活 用 して 展 開 できる 事 業 のアイディアをお 聞 かせく ださい 事 業 アイディアには, 次 の 可 能 性 も 含 めて 提 案 をお 願 いします ア 地 域 の 活 性 化 と 様 々な 世

4 調 査 の 対 話 内 容 (1) 調 査 対 象 財 産 の 土 地 建 物 等 を 活 用 して 展 開 できる 事 業 のアイディアをお 聞 かせく ださい 事 業 アイディアには, 次 の 可 能 性 も 含 めて 提 案 をお 願 いします ア 地 域 の 活 性 化 と 様 々な 世 呉 市 有 財 産 事 業 者 提 案 型 (サウンディング 型 ) 市 場 調 査 実 施 要 項 1 調 査 の 名 称 呉 市 有 財 産 事 業 者 提 案 型 (サウンディング 型 ) 市 場 調 査 ( 以 下 市 場 調 査 という ) 2 調 査 の 目 的 等 (1) 背 景 目 的 呉 市 では, 行 政 目 的 のない 財 産 ( 土 地 建 物 )については 売 却 を 原

More information

4 参 加 資 格 要 件 本 提 案 への 参 加 予 定 者 は 以 下 の 条 件 を 全 て 満 たすこと 1 地 方 自 治 法 施 行 令 ( 昭 和 22 年 政 令 第 16 号 ) 第 167 条 の4 第 1 項 各 号 の 規 定 に 該 当 しない 者 であること 2 会 社

4 参 加 資 格 要 件 本 提 案 への 参 加 予 定 者 は 以 下 の 条 件 を 全 て 満 たすこと 1 地 方 自 治 法 施 行 令 ( 昭 和 22 年 政 令 第 16 号 ) 第 167 条 の4 第 1 項 各 号 の 規 定 に 該 当 しない 者 であること 2 会 社 北 秋 田 市 クリーンリサイクルセンターエネルギー 回 収 推 進 施 設 等 長 期 包 括 的 運 転 維 持 管 理 業 務 委 託 に 係 る 発 注 支 援 業 務 公 募 型 プロポ-ザル 実 施 要 領 1 プロポーザルの 目 的 この 要 領 は 平 成 30 年 4 月 から 運 転 を 予 定 している 北 秋 田 市 クリーンリサイクルセンター エネルギー 回 収 推 進 施

More information

< F2D E633368D86816A89EF8C768E9696B18EE688B5>

< F2D E633368D86816A89EF8C768E9696B18EE688B5> 独 立 行 政 法 人 国 立 高 等 専 門 学 校 機 構 会 計 事 務 取 扱 規 則 独 立 行 政 法 人 国 立 高 等 専 門 学 校 機 構 規 則 第 36 号 制 定 平 成 16 年 4 月 1 日 一 部 改 正 平 成 17 年 2 月 23 日 一 部 改 正 平 成 18 年 2 月 28 日 一 部 改 正 平 成 19 年 3 月 30 日 一 部 改 正 平 成

More information

資 料 -6 平 成 20 年 度 第 2 回 北 陸 地 方 整 備 局 事 業 評 価 監 視 委 員 会 特 定 構 造 物 改 築 事 業 事 後 評 価 説 明 資 料 平 成 20 年 11 月 北 陸 地 方 整 備 局 -0-

資 料 -6 平 成 20 年 度 第 2 回 北 陸 地 方 整 備 局 事 業 評 価 監 視 委 員 会 特 定 構 造 物 改 築 事 業 事 後 評 価 説 明 資 料 平 成 20 年 11 月 北 陸 地 方 整 備 局 -0- 平 成 20 年 度 事 後 評 価 対 象 事 業 の 概 要 事 業 名 特 定 構 造 物 改 築 事 業 ( ) 事 業 区 分 河 川 事 業 事 業 箇 所 新 潟 県 三 条 市 須 頃 地 先 事 業 採 択 平 成 9 年 度 都 市 計 画 決 定 - 用 地 着 手 - 工 事 着 手 平 成 9 年 度 完 成 に 至 る 経 緯 平 成 9 年 度 特 定 構 造 物 改

More information

Microsoft Word - H27概要版

Microsoft Word - H27概要版 本 市 は 大 正 14 年 4 月 の 都 市 計 画 法 の 適 用 を 受 け 大 正 15 年 4 月 30 日 に 都 市 計 画 区 域 の 決 定 をしました 昭 和 6 年 には 都 市 計 画 道 路 翌 昭 和 7 年 には 用 途 地 域 昭 和 10 年 には 風 致 地 区 が それぞれ 計 画 決 定 され 本 市 における 都 市 計 画 の 礎 が 定 められました

More information

1 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の 課 税 について ガス 供 給 業 を 行 う 法 人 は 収 入 金 額 を 課 税 標 準 として 収 入 割 の 申 告 となります ( 法 72 条 の2 72 条 の 12 第 2 号 ) ガス 供 給 業 とその 他 の 事

1 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の 課 税 について ガス 供 給 業 を 行 う 法 人 は 収 入 金 額 を 課 税 標 準 として 収 入 割 の 申 告 となります ( 法 72 条 の2 72 条 の 12 第 2 号 ) ガス 供 給 業 とその 他 の 事 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の 申 告 について 埼 玉 県 県 税 事 務 所 平 成 28 年 4 月 凡 例 法 地 方 税 法 政 令 地 方 税 法 施 行 令 規 則 地 方 税 法 施 行 規 則 通 ( 県 ) 地 方 税 法 の 施 行 に 関 する 取 扱 いについて( 道 府 県 関 係 ) 1 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の

More information

任意整理について | 多重債務Q&A | 公益財団法人 日本クレジットカウンセリング協会

任意整理について | 多重債務Q&A | 公益財団法人 日本クレジットカウンセリング協会 第 5 章 任 意 整 理 について Q25 任 意 整 理 のポイント 任 意 整 理 とはどういうことですか そのポイントを 教 えてください 1. 任 意 整 理 とは 任 意 整 理 とは 支 払 能 力 を 超 える 債 務 を 負 っている 債 務 者 について 支 払 能 力 に 応 じた 返 済 計 画 を 立 て その 返 済 計 画 にしたがって 個 々の 債 権 者 との 間

More information

(4) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている.

(4) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている. 別 紙 高 山 村 の 給 与 定 員 管 理 等 について 総 括 () 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (26 年 月 日 ) A B B/A 24 年 度 の 件 費 率 年 度 千 千 千 2,9 2,64,628 6,8 467,928 8. 2.4 (2) 職 員 給 与 費

More information

別 表 1 土 地 建 物 提 案 型 の 供 給 計 画 に 関 する 評 価 項 目 と 評 価 点 数 表 項 目 区 分 評 価 内 容 と 点 数 一 般 評 価 項 目 100 1 立 地 条 件 (1) 交 通 利 便 性 ( 徒 歩 =80m/1 分 ) 25 (2) 生 活 利 便

別 表 1 土 地 建 物 提 案 型 の 供 給 計 画 に 関 する 評 価 項 目 と 評 価 点 数 表 項 目 区 分 評 価 内 容 と 点 数 一 般 評 価 項 目 100 1 立 地 条 件 (1) 交 通 利 便 性 ( 徒 歩 =80m/1 分 ) 25 (2) 生 活 利 便 石 巻 市 公 募 型 買 取 市 営 住 宅 の 供 給 計 画 に 関 する 選 定 基 準 制 定 平 成 24 年 10 月 10 日 改 正 平 成 25 年 5 月 1 日 改 正 平 成 26 年 7 月 8 日 改 正 平 成 27 年 12 月 4 日 改 正 平 成 28 年 6 月 27 日 第 1 目 的 この 基 準 は 石 巻 市 公 募 型 買 取 市 営 住 宅 制

More information