UG632 PlanAhead ユーザー ガイド

Size: px
Start display at page:

Download "UG632 PlanAhead ユーザー ガイド"

Transcription

1 PlanAhead ユーザー ガイド 2010 年 7 月 23 日

2 Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information. THE DOCUMENTATION IS DISCLOSED TO YOU AS-IS WITH NO WARRANTY OF ANY KIND. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. Included in the PlanAhead software code is source code for the following programs: Centerpoint XML The initial developer of the Original Code is CenterPoint - Connective Software Engineering GmbH. Portions created by CenterPoint - Connective Software Engineering GmbH. Copyright Copyright IBM Corp CenterPoint - Connective Software Engineering GmbH. All Rights Reserved. Source Code for CenterPoint is available at NLView Schematic Engine Copyright Copyright IBM Corp Concept Engineering. Static Timing Engine by Parallax Software Inc. Copyright Copyright IBM Corp Parallax Software Inc. Java Standard Edition Copyright Copyright IBM Corp Sun Microsystems Includes portions of software from RSA Security, Inc. and some portions licensed from IBM are available at Powered By JIDE - The BSD License for the JGoodies Looks Copyright Copyright IBM Corp JGoodies Karsten Lentzsch. All rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: - Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. PlanAhead ユーザー ガイド japan.xilinx.com

3 - Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. - Neither the name of JGoodies Karsten Lentzsch nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS AS IS AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. japan.xilinx.com PlanAhead ユーザー ガイ ド

4 Libconfig (v1.3.2) License libconfig - A library for processing structured configuration files Copyright (C) Mark A Lindner This file is part of libconfig. This library is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version. This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details. You should have received a copy of the GNU Library General Public License along with this library; if not, see Free IP Core License This is the Entire License for all of our Free IP Cores. Copyright (C) , ASICs World Services, LTD., AUTHORS All rights reserved. Redistribution and use in source, netlist, binary and silicon forms, with or without modification, are permitted provided that the following conditions are met: -Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. -Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. -Neither the name of ASICS World Services, the Authors and/or the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS AS IS AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. Demo RTL Design License 2010 Xilinx, Inc. This RTL Design is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version. This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details. You should have received a copy of the GNU Library General Public License along with this design file; if not, see 本 資 料 は 英 語 版 (v 12.2) を 翻 訳 し た も ので 内 容 に 相 違 が 生 じ る 場 合 には 原 文 を 優 先 し ます 資 料 に よ っ ては 英 語 版 の 更 新 に 対 応 し ていない も のがあ り ます 日 本 語 版 は 参 考 用 と し てご 使 用 の 上 最 新 情 報 につき ま し ては 必 ず 最 新 英 語 版 を ご 参 照 く だ さ い PlanAhead ユーザー ガイド japan.xilinx.com

5 マニ ュ アルの 内 容 このマニュアルについて このユーザー ガイドには PlanAhead ソ フ ト ウ ェ アの イ ン ターフ ェ イ ス の 概 要 デザ イ ン 機 能 お よびソ フ ト ウ ェ ア 機 能 の 使 用 方 法 などの 詳 細 な 情 報 が 含 まれています 本 章 は 次 のセ ク シ ョ ンで 構 成 さ れています マニ ュ アルの 内 容 その 他 の リ ソース 表 記 規 則 メモ : ソ フ ト ウ ェ アの イ ン ス ト ール 方 法 およびシ ス テム 要 件 は ISE Design Suite : イ ン ス ト ール ライセンス リース ノート を 参 照 してください マニ ュ アルの 内 容 このユーザー ガ イ ド は 次 の 章 か ら 構 成 さ れています 第 1 章 概 要 : PlanAhead の 機 能 の 概 要 を 説 明 し ます 第 2 章 PlanAhead デザイ ン フ ローの 理 解 : デザイ ン フローの 概 要 を 説 明 し ます 第 3 章 プ ロ ジ ェ ク ト の 操 作 : PlanAhead でのプ ロ ジ ェ ク ト の 初 期 設 定 と 管 理 について 説 明 し ます 第 4 章 表 示 環 境 の 使 用 : PlanAhead のユーザー インターフェイスについて 説 明 します 第 5 章 RTL デザイ ン : RTL 環 境 について 説 明 し ます 第 6 章 デザ イ ンの 合 成 : 合 成 機 能 について 説 明 し ます 第 7 章 ネ ッ ト リ ス ト の 解 析 および 制 約 の 定 義 : PlanAhead のデザ イ ン 解 析 と 制 約 定 義 につい て 説 明 し ます 第 8 章 I/O ピ ン 配 置 : ピ ン を 割 り 当 て る ピ ン 配 置 環 境 について 説 明 し ます 第 9 章 デザインのインプ リ メ ンテーシ ョ ン : イ ンプ リ メ ンテーシ ョ ン 機 能 について 説 明 し ま す 第 10 章 イ ンプ リ メ ンテーシ ョ ン 結 果 の 解 析 : PlanAhead でのタ イ ミ ングおよび 配 置 解 析 機 能 を 説 明 し ます 第 11 章 デザインのフロアプラン : PlanAhead での さ ま ざ ま なフ ロ アプ ラ ン 機 能 およびス ト ラ テジを 説 明 し ます 第 12 章 デザインのプログラムとデバッグ : ビットスト リーム フ ァ イ ルの 生 成 プ ロ グ ラ ム ツールの 起 動 PlanAhead に 統 合 されている ChipScope デバ ッ グ ソフトウェアのデバッグ 機 能 について 説 明 し ます 第 13 章 階 層 デザ イ ン 手 法 の 使 用 : 階 層 デザイ ン 機 能 の 使 用 方 法 を 説 明 します PlanAhead ユーザー ガイド japan.xilinx.com 5 UG632 (v12.2) 2010 年 7 月 23 日

6 このマニュアルについて 第 14 章 Tcl およびバッチ スク リプト : Tcl コ マン ド およびス ク リ プ ト 機 能 の 使 用 方 法 を 説 明 します 第 15 章 Project Navigator からの PlanAhead の 使 用 : Project Navigator に 統 合 さ れている PlanAhead フ ローを 説 明 し ます このユーザー ガ イ ド には 次 の 付 録 が 含 まれています 付 録 A PlanAhead の 入 力 フ ァ イ ルおよび 出 力 フ ァ イ ル : PlanAhead の 入 力 フ ァ イ ル と 出 力 フ ァ イ ルについて 説 明 し ます 付 録 B PlanAhead の 用 語 : PlanAhead ソ フ ト ウ ェ アで 使 用 さ れる 用 語 を 説 明 し ます 付 録 C XilinxNotify を 使 用 した リ リ ースのインス ト ール : PlanAhead のリ リース ス ト ラ テ ジおよびソ フ ト ウ ェ アのア ッ プデー ト 方 法 について 説 明 し ます 付 録 D パス ワー ド 入 力 な し の SSH の 設 定 : PlanAhead プロセスを 複 数 のホス トで 実 行 す る ために 必 要 な パス ワード が 不 要 な SSH の 設 定 方 法 を 説 明 し ます その 他 の リ ソース 次 は PlanAhead に 関 する 文 書 です ISE Design Suite : インストール ライセンス リ リース ノート (UG631) : イ ン ス ト ール 手 順 およびシ ス テム 要 件 を 説 明 し ます ザイ リンクス Web サイ トから 入 手 できます PlanAhead ソ フ ト ウ ェ アの 新 機 能 (UG656) : こ の リ リ ース での 新 機 能 を 説 明 し ます ザ イ リ ンクス Web サイ トから 入 手 できます フロアプラン 手 法 ガイ ド (UG633) : パフ ォーマン ス 向 上 結 果 の 再 利 用 性 設 計 時 間 の 短 縮 のためのさ まざまなフロアプラン ス ト ラ テジを 説 明 し ます ザイ リンクス Web サイ トから 入 手 でき ます 階 層 デザ イ ン 手 法 ガ イ ド (UG748) : ザ イ リ ン ク スの 階 層 パーテ ィ シ ョ ン 機 能 について 説 明 します ザイ リンクス Web サイ トから 入 手 できます このユーザー ガ イ ド では 次 の 資 料 が 参 照 されています 合 成 /シミュレーション デザイ ン ガイド (UG626) 制 約 ガ イ ド (UG612) Spartan-6 PCB Design Guide (UG393) パーシ ャル リコンフィギュレーション ユーザー ガイド (UG702) : パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンに 関 する 資 料 は 次 のザ イ リ ン ク ス Web サイ トから 入 手 できます 詳 細 は ザ イ リ ン ク スの Web サイ ト ( を 参 照 して く ださい 追 加 資 料 は 次 のザ イ リ ン ク ス Web サ イ ト を 参 照 し て く だ さ い シリコン ソフトウェア IP に 関 する 問 題 を アンサー データベース で 検 索 し た り テ ク ニカル サ ポー ト のウ ェブ ケースを 開 く には 次 のザイ リ ン ク ス Web サイ トにアクセスしてください 6 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

7 その 他 のリ ソース ザイリンクスのト レーニング Essential Design with the PlanAhead Analysis & Design Tool : PlanAhead の 機 能 の 基 礎 を 学 ぶ コースです Advanced Design with the PlanAhead Analysis & Design Tool : PlanAhead のア ド バン ス 機 能 を 学 ぶコースです チュート リアル 次 の PlanAhead チ ュー ト リ アルが 用 意 さ れています ソ フ ト ウ ェ アおよび 次 のザ イ リ ン ク ス の Web サイ ト よ り 入 手 できます クイッ ク フ ロー 概 要 (UG673) I/O ピ ン 配 置 (UG674) RTL デザイ ン と CORE Generator を 使 用 した IP の 生 成 (UG675) デザ イ ン 解 析 およびフ ロ アプ ラ ン (UG676) ChipScope を 使 用 したデバッグ (UG677) 予 測 可 能 な 結 果 に 対 する 保 存 デザ イ ンの 利 用 (UG747) パーシ ャル リコンフィギュレーション フ ローの 概 要 (UG743) プロ セ ッ サ ペリフェラルのパーシャル リコンフィギュレーション (UG744) Tcl および SDC コ マン ド の 使 用 (UG760) ビデオ デモ PlanAhead のビデオ デモで PlanAhead ソ フ ト ウ ェ アの 特 定 の 使 用 方 法 について 学 ぶこ と が できます 次 のザイ リ ン ク ス Web サイ トから 入 手 できます PlanAhead ユーザー ガイド japan.xilinx.com 7 UG632 (v12.2) 2010 年 7 月 23 日

8 このマニュアルについて 表 記 規 則 こ のマニ ュ アルでは 次 の 表 記 規 則 を 使 用 し ています 各 規 則 について 例 を 挙 げて 説 明 し ます 書 体 次 の 規 則 は すべてのマニ ュ アルで 使 用 さ れています 表 記 規 則 使 用 箇 所 例 Courier フォント Courier フォント ( 太 字 ) イタリック フォント 影 付 き 二 重 / 一 重 かぎかっ こ 角 かっ こ [ ] 中 かっ こ { } 山 かっ こ < > 縦 棒 縦 の 省 略 記 号... 横 の 省 略 記 号... 接 頭 辞 / 接 尾 辞 シ ス テムが 表 示 する メ ッ セージ プロンプト プログラム ファイル を 表 示 します 構 文 内 で 入 力 する コ マン ド を 示 します ユーザーが 値 を 入 力 する 必 要 の あ る 構 文 内 の 変 数 に 使 用 し ます 項 目 がサポー ト さ れていないか 予 約 さ れてい る こ と を 示 し ます はマニュアル 名 を はセク ション 名 を 示 します オプシ ョ ンの 入 力 またはパラ メータを 示 しますが bus[7:0] の よ う なバス 仕 様 では 必 ず 使 用 し ます ま た GUI 表 記 に も 使 用 します 1 つ 以 上 の 項 目 を 選 択 する ための リストを 示 します ユーザーが 値 を 入 力 する 必 要 の あ る 構 文 内 の 変 数 に 使 用 し ます 選 択 する リ ス ト の 項 目 を 分 離 し ます 繰 り 返 し 項 目 が 省 略 されている ことを 示 します 繰 り 返 し 項 目 が 省 略 されている ことを 示 します 接 頭 辞 0x ま たは 接 尾 辞 h は 16 進 数 を 示 し ます _n は 信 号 がア ク テ ィ ブ Low であ ることを 示 します speed grade: ngdbuild design_name ngdbuild design_name この 機 能 はサポート されていま せん 詳 細 については 開 発 シ ス テム リファレンス ガイド の PAR を 参 照 し て く だ さ い ngdbuild [option_name] design_name [File] [Open] をク リ ックし ます lowpwr ={on off} <directory name> lowpwr ={on off} IOB #1: Name = QOUT IOB #2: Name = CLKIN... allow block block_name loc1 loc2... locn; アドレス 0x を 読 み 出 す と h が 返 されます usr_teof_n はアクテ ィ ブ Low です 8 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

9 表 記 規 則 オンライン マニュアル こ のマニ ュ アルでは 次 の 規 則 が 使 用 さ れています 表 記 規 則 使 用 箇 所 例 青 色 の 文 字 青 色 の 下 線 付 き 文 字 マニ ュ アル 内 の 相 互 参 照 を 示 し ます Web サイ ト (URL) へのハ イ パー リンクです 詳 細 は その 他 の リ ソース を 参 照 してください 詳 細 は 第 1 章 タイ トル フォー マッ ト を 参 照 して く ださい 最 新 のス ピー ド ファイルは か ら 入 手 できます PlanAhead ユーザー ガイド japan.xilinx.com 9 UG632 (v12.2) 2010 年 7 月 23 日

10 このマニュアルについて 10 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

11 目 次 このマニュアルについて マニュアルの 内 容 その 他 の リ ソース ザイ リ ンクスのト レーニング チュート リアル ビデオ デモ 表 記 規 則 書 体 オンライン マニュアル 第 1 章 : 概 要 PlanAhead ソフトウェアについて PlanAhead の 使 用 プロジェク トの 作 成 と 管 理 RTL および IP デザイ ン 合 成 と イ ンプ リ メ ンテーシ ョ ン デザ イ ン 解 析 および 制 約 の 定 義 ピン 配 置 フロアプラン デザイ ンのプロ グ ラ ム と デバ ッ グおよび ChipScope の 統 合 階 層 デザ イ ン デザ イ ン 保 持 パーシ ャル リコンフィギュレーション Tcl コマンドおよびバッチ スク リプト ISE Project Navigator 環 境 での PlanAhead の 使 用 入 力 および 出 力 フ ァ イ ル PlanAhead の 用 語 ソ フ ト ウ ェ アのア ッ プデー ト 複 数 の Linux ホス トの 設 定 PlanAhead の 起 動 Linux Windows Getting Started ページの 使 用 PlanAhead コマンド ライン オプシ ョ ン PlanAhead スタートアップ Tcl スクリプト 第 2 章 : PlanAhead デザイ ン フ ローの 理 解 PlanAhead デザイ ン フロー RTL からビッ ト ス ト リーム 合 成 ネットリストからビットストリーム デバイ スの 解 析 と I/O ピン 配 置 イ ンプ リ メ ン ト 済 みデザ イ ン 結 果 の 解 析 パーシ ャル リコンフィギュレーション デザイ ン フロー デザイ ン フロー デザイ ン フロー タスク プロジェク トの 作 成 と 管 理 IP のカスタマイズと インプ リ メ ンテーシ ョ ン RTL 開 発 および 解 析 ロジック 合 成 I/O ピン 配 置 ネ ッ ト リ ス ト の 解 析 および 制 約 の 定 義 インプリ メンテーション PlanAhead ユーザー ガイド japan.xilinx.com 11 UG632 (v12.2) 2010 年 7 月 23 日

12 結 果 の 解 析 およびフ ロ アプ ラ ン デバイ スのプロ グ ラ ム デザイ ンの 検 証 とデバッ グ ユーザー モデル 基 本 的 なユーザー フロー アドバンス 機 能 Flow Navigator の 理 解 RTL プロジェク トでの Flow Navigator の 使 用 合 成 済 みネ ッ ト リ ス ト プロジェク トでの Flow Navigator の 使 用 Flow Navigator からのコマンドの 実 行 Project Manager コマンド オプシ ョ ンの 設 定 合 成 の 実 行 インプリ メンテーションの 実 行 ビッ トスト リーム ファイルの 生 成 プログラムおよびデバッグ ツールの 起 動 デザイ ンの 操 作 RTL デザイ ンを 開 く ネッ ト リスト デザイ ンの 使 用 ネッ ト リス ト デザイ ンを 開 く アクティブ ネッ ト リ ストの 設 定 イ ンプ リ メ ン ト 済 みデザ イ ン を 開 く 開 いているデザイ ンの 管 理 デザイ ンを 閉 じ る デザイ ン ビュー バナー I/O Planner と Design Planner ビュー レイアウト デザ イ ンの 再 読 み 込 みが 必 要 であ る こ と を 示 すバナー 複 数 のデザ イ ンの 切 り 替 え 第 3 章 : プロジェク トの 操 作 PlanAhead のプロジェ ク ト タイプ RTL ソース ベース のプ ロ ジ ェ ク ト 合 成 済 みネ ッ ト リ ス ト ベースのプ ロ ジ ェ ク ト イ ンプ リ メ ン ト 済 みデザ イ ン 結 果 ベースのプ ロ ジ ェ ク ト I/O ピン 配 置 プロジェク ト Project Navigator で 作 成 されたプロ ジェ ク ト 新 規 プ ロ ジ ェ ク ト の 作 成 New Project ウ ィザードの 使 用 プロジェク ト 名 およびプロジェク ト ディレク ト リの 入 力 デザイ ン ソース データ タイプの 選 択 RTL ソース を 使 用 し たプ ロ ジ ェ ク ト の 作 成 XST または Synplify プロジェク トからのインポート 設 定 合 成 済 みネ ッ ト リ ス ト を 使 用 し たプ ロ ジ ェ ク ト の 作 成 RTL およびネッ ト リ ス ト プ ロ ジ ェ ク ト への 制 約 フ ァ イ ルの 追 加 デフォル ト デバイ スの 選 択 I/O ピ ン 配 置 プ ロ ジ ェ ク ト の 作 成 デフォル ト デバイ スの 選 択 ISE の 配 置 およびタ イ ミ ング 結 果 を 使 用 し たプ ロ ジ ェ ク ト の 作 成 配 置 およびタ イ ミ ン グ 結 果 の イ ンポー ト 既 存 のプ ロ ジ ェ ク ト を 開 く 複 数 のプ ロ ジ ェ ク ト を 開 く プロジェク トの 保 存 プロジェク ト を 閉 じる プロジェク ト ソースの 管 理 Project Manager [Sources] ビュー ソース ファイルの 作 成 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

13 ソース ファイルの 追 加 または 作 成 リモート ソースの 参 照 ま たはプ ロ ジ ェ ク ト ディレク ト リへのソースのコピー ソース ファイル プロパティの 表 示 ソース フ ァ イルのア ッ プデー ト ソース ファイルのイネーブル/ディスエーブル 既 存 の IP のプロジェ ク ト への 追 加 CORE Generator を 使 用 した IP のコンフィギュレーシ ョ ン IP カタログの 使 用 IP カ タ ロ グのア ッ プデー ト IP カタログの 設 定 IP のカスタマイズ IP の 表 示 IP のインスタンシエーショ ン IP の 生 成 IP の 変 更 PlanAhead の XSP および EDK との 使 用 制 約 の 追 加 と 管 理 制 約 の 追 加 ま たは 作 成 新 規 プ ロ ジ ェ ク ト 作 成 時 の 制 約 の 追 加 [Add/Create Constraints] コマンドの 使 用 ターゲッ ト UCF の 設 定 元 の UCF ファイルの 参 照 またはファイルのコピー 制 約 セ ッ ト の 使 用 ターゲッ ト UCF の 変 更 制 約 セ ッ ト の 作 成 [Save Design As] コマンドの 使 用 新 規 制 約 セ ッ ト の 作 成 アクティブ 制 約 セッ トの 定 義 モジュール レベルの 制 約 フ ァ イルの 使 用 制 約 のエ ク ス ポー ト プロジェク ト 設 定 [Project Settings] ダイアログ ボックスの [General] ページ [Project Settings] ダイアログ ボックスの [Synthesis] ページ [Project Settings] ダイアログ ボックスの [Implementation] ページ IP カタログの 設 定 合 成 およびイ ンプ リ メ ンテーシ ョ ン ス トラテジの 作 成 共 通 グループ ス トラテジの 作 成 [Project Settings] セクション [Project State] セクション [Compilation Settings] セクション [Resources] セクション [Implemented Timing] セクション 第 4 章 : 表 示 環 境 の 使 用 表 示 環 境 の 理 解 表 示 環 境 の 概 要 主 な 表 示 環 境 コ ンポーネン ト メイン 表 示 エリア メ イ ン 表 示 エ リ アの 最 大 化 Flow Navigator の 非 表 示 メッセージ エ リ アの 非 表 示 メッセージ エ リ アの 再 表 示 I/O Planner と Design Planner の 切 り 替 え I/O Planner の 使 用 Design Planner の 使 用 メッセージ エリア [Elaboration Messages] ビュー PlanAhead ユーザー ガイド japan.xilinx.com 13 UG632 (v12.2) 2010 年 7 月 23 日

14 [Compilation Log] ビュー [Compilation Messages] ビュー [Tcl Console] ビュー 警 告 およびエ ラーを 示 すカ ラー バー Tcl コマンド ラインの 使 用 Tcl ヘルプの 使 用 [Design Runs] ビューの 使 用 ビューの 操 作 ビューを 開 く ビューのナビゲート ビュー バナーを 使 用 し たビ ューの 操 作 ビューのフロート ワーク スペースのビ ュー ワー ク スペースのビ ューの 理 解 ワークスペースのビューを 開 く ワー ク スペースの 最 大 化 ワー ク スペースのビ ューのフ ロー ト 表 示 ワー ク スペースのビ ューの 印 刷 ワー ク スペースのビ ューを 閉 じ る ワー ク スペースの 分 割 [World] ビュー ツ リ ー 表 形 式 のビ ュー 表 の 展 開 / 非 展 開 エン ト リのグループ 化 またはフラ ッ ト リスト 表 示 リスト ビ ューでの 検 索 機 能 の 使 用 列 の 並 べ 替 え 列 の 整 列 ビ ュー 特 定 のツールバー コマンド 情 報 バナー 文 脈 依 存 カーソ ルについて オブジェ ク ト の 選 択 [Select] メニュー コマンド 複 数 のオブジ ェ ク ト の 選 択 [Select Area] コマンド プリ ミティブの 親 モジュールの 選 択 [Selection] ビュー 選 択 し たオブジ ェ ク ト すべての 表 示 オブジ ェ ク ト の 選 択 規 則 PlanAhead の 表 示 設 定 選 択 し たオブジ ェ ク ト のハイ ラ イ ト 選 択 し たオブジ ェ ク ト のマーク PlanAhead ビューの 使 用 [Sources] ビュー [Sources] ビューを 開 く [Sources] ビューのコマンド テキス ト エデ ィ タでの ソース フ ァ イ ルの 表 示 および 編 集 [Device] ビュー [Device] ビュー コマンドの 使 用 デバイ ス リソースの 表 示 ク ロ ッ ク 領 域 の 表 示 [Device] ビューの 印 刷 複 数 の [Device] ビューの 表 示 [Package] ビュー 複 数 の [Package] ビューの 表 示 [Package] ビューの 印 刷 [Schematic] ビュー [Schematic] ビューでのロジックの 選 択 [Schematic] ビ ューでのロ ジ ッ ク 階 層 の 表 示 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

15 選 択 し たピ ンか ら の ロ ジ ッ ク の 展 開 表 示 選 択 し た イ ン ス タ ン ス ま たはモジ ュールの ロ ジ ッ ク の 展 開 ま たは 非 展 開 [Schematic] ビューのボタン 回 路 図 での 階 層 移 動 [Schematic] ビューの 更 新 [Schematic] ビューでのオブジェク トの 選 択 [Schematic] ビューからのオブジェク トの 削 除 [Schematic] ビューの 印 刷 [Schematic] ビューのポップアップ メニュー コマンド 回 路 図 デザ イ ン 情 報 の 表 示 [Schematic] ビューでのタイ ミング パス ロジックの 表 示 [Properties] ビュー [Properties] ビューを 開 く [Properties] ビューのコマンド [Netlist] ビュー ネッ ト リス ト ツ リ ーの 非 展 開 [Primitives] フォルダの 使 用 [Nets] フォルダの 使 用 [Netlist] ビューのアイコン [Netlist] ビューでのロジックの 選 択 [Netlist] ビューのコマンド [Hierarchy] ビュー [I/O Ports] ビュー I/O ポー ト の 作 成 [I/O Ports] ビューのコマンド [Package Pins] ビュー [Package Pins] ビューを 開 く [Package Pins] ビューのコマンド [Design Runs] ビュー [Design Runs] ビューを 開 く [Design Runs] ビューのポップアップ メニュー コマンド 表 示 環 境 の 設 定 PlanAhead の 表 示 オプシ ョ ンのカス タマイ ズ 全 般 的 な ウ ィ ン ド ウ 表 示 オプシ ョ ンの 設 定 [Device] ビ ューの 表 示 オプシ ョ ンの 設 定 [Package] ビ ューの 表 示 オプシ ョ ンの 設 定 [Device] ビューのバンドル ネッ ト 表 示 オプションの 設 定 [Schematic] ビ ューでのス ラ ッ クおよびフ ァ ンアウ ト の 表 示 オプシ ョ ン ツールバー コマンドを 使 用 した 表 示 の 調 整 カ ス タ ム 表 示 設 定 の 保 存 テーマの 選 択 カスタム テーマの 作 成 および 使 用 ビューの 移 動 カスタム ビュー レイアウトの 作 成 ビュー レイアウトの 復 元 デフォル ト のビュー レイアウトの 復 元 [Undo]/[Redo] コマンドの 使 用 PlanAhead の 動 作 の 設 定 選 択 規 則 オプシ ョ ンの 設 定 ショートカット キーの 設 定 PlanAhead の 全 般 的 なオプシ ョ ンの 設 定 PlanAhead の 一 般 的 な ウ ィ ン ド ウ 動 作 の 設 定 第 5 章 : RTL デザイ ン 概 要 デザイ ン ソース ファイルの 管 理 RTL ソース ファイルの 編 集 テキス ト エデ ィ タの 使 用 PlanAhead ユーザー ガイド japan.xilinx.com 15 UG632 (v12.2) 2010 年 7 月 23 日

16 テキス ト エデ ィ タのコマン ド 言 語 テンプレートのインスタンシエート [Find] コマンドを 使 用 したソース ファイルの 検 索 RTL デザイ ンのエ ラ ボレーシ ョ ン と 解 析 RTL デザイ ンのコ ンパイルの 検 証 エ ラ ボレーシ ョ ン 結 果 の 表 示 RTL ソース ファイルの 問 題 のハイライト 表 示 エ ラーのみの 表 示 RTL デザイ ン 環 境 の 使 用 [Instance Properties] ビ ューでの リ ソース 統 計 の 解 析 RTL ロ ジ ッ ク 階 層 の 解 析 RTL デザイ ン 回 路 図 の 解 析 エラボレーション オプシ ョ ンの 設 定 エ ラ ボレーシ ョ ン 結 果 の 表 示 RTL DRC の 実 行 DRC の 選 択 DRC 違 反 の 解 析 RTL ルール : 消 費 電 力 およびパフ ォーマン ス 消 費 電 力 DRC パフォーマンス DRC 消 費 電 力 予 測 第 6 章 : デザイ ンの 合 成 PlanAhead での 合 成 およびイ ンプ リ メ ンテーシ ョ ンについて 合 成 の 実 行 合 成 に 関 する ヒ ン ト 合 成 オプシ ョ ンの 設 定 XST オプシ ョ ン を 使 用 し た 階 層 ネ ッ ト リ ス ト の 作 成 合 成 の 起 動 合 成 実 行 の 起 動 合 成 実 行 の 設 定 実 行 ス テータ スの 監 視 合 成 終 了 後 の 次 の 手 順 の 選 択 実 行 結 果 の 解 析 複 数 の 合 成 実 行 の 起 動 第 7 章 : ネ ッ ト リ ス ト の 解 析 および 制 約 の 定 義 概 要 [Netlist Design] の 使 用 リソース 統 計 の 表 示 とレポート [Project Summary] ビューのリ ソース 概 算 階 層 別 の リ ソ ース 概 算 ロジック インスタンスのリ ソース 統 計 の 表 示 Pblock のリソース 統 計 の 表 示 [Statistics] タブの 使 用 リ ソ ース 統 計 レ ポー ト のエ ク ス ポー ト ロジックのエクスポート ロ ジ ッ ク 階 層 の 表 示 ロ ジ ッ ク 回 路 図 の 表 示 階 層 接 続 の 解 析 [Find] コマンドを 使 用 したオブジェク トの 検 索 [Find Results] ビューの 使 用 ChipScope デバ ッ グ コアの 挿 入 タイミング 制 約 の 定 義 テキス ト エデ ィ タ での 制 約 の 編 集 ザ イ リ ン ク ス 提 供 の UCF テンプレートの 使 用 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

17 [Timing Constraints] ビューの 使 用 タ イ ミ ング 制 約 の 値 の 変 更 新 し い タ イ ミ ング 制 約 の 追 加 タイミング 制 約 の 削 除 タイミング 解 析 の 実 行 PlanAhead のタイ ミ ング 解 析 ネッ ト リスト デザ イ ンの タ イ ミ ング 解 析 オプシ ョ ン イ ンプ リ メ ン ト 済 みデザ イ ンの タ イ ミ ング 解 析 オプシ ョ ン タ イ ミ ング 解 析 結 果 のレ ポー ト [Targets] タブのオプショ ン [Choose Points] ダイアログ ボックス [Advanced] タブのオプショ ン [Timer Settings] タブのオプショ ン タイミング 結 果 の 解 析 タイミング レ ポー ト の 並 び 替 え パス リストのフラット 化 タイミング レポートからのパスの 削 除 パスの 詳 細 の 表 示 タイミング パス レポートのワークスペースでの 表 示 スラック ヒストグラムの 使 用 スラック ヒストグラムのオプション 設 定 [Generate Slack Histogram for Endpoints] ダイアログ ボックスの [Timer Settings] タブ..215 タイミング ヒ ス ト グ ラ ム 結 果 の 解 析 解 析 するパスの 選 択 ヒストグラム オプシ ョ ンの 変 更 物 理 制 約 の 定 義 [Physical Constraints] ビューの 使 用 ROOT デザイ ン Pblock の 使 用 [Physical Constraints] ビューのアイコン 相 対 配 置 マ ク ロ (RPM) での 作 業 デザイ ン ルール チェッ ク (DRC) の 実 行 I/O ポー ト およびク ロ ッ ク ロジック DRC の 実 行 ネ ッ ト リ ス ト および 制 約 DRC の 実 行 DRC エラーの 表 示 DRC ルールについて 第 8 章 : I/O ピン 配 置 I/O 配 置 の 概 要 I/O ピ ン 配 置 の 手 法 I/O 配 置 ス ト ラ テジ ピ ン 配 置 プ ロ ジ ェ ク ト の 作 成 RTL デザイ ンのエラ ボレーシ ョ ン と チェ ッ ク ネッ ト リス ト デザイ ンの 合 成 デザイ ンのイ ンプ リ メ ンテーシ ョ ン と I/O の 最 終 検 証 I/O ポー ト 配 置 機 能 I/O Planner の 使 用 [Package] ビューと [Device] ビ ューの 同 時 表 示 分 割 し たビ ューを 1 つのビ ューで 表 示 する 方 法 デバイ ス リソースの 表 示 パッケージ ピンのプロパティの 表 示 I/O バン ク リソースの 表 示 ク ロ ッ ク 領 域 リ ソ ースの 表 示 ク ロ ッ ク 領 域 の リ ソ ース 統 計 の 表 示 多 機 能 ピ ンの 表 示 ターゲッ ト パーツの 変 更 デバイ ス コンフィギュレーション モード の 選 択 I/O ポー ト の 定 義 と 設 定 PlanAhead ユーザー ガイド japan.xilinx.com 17 UG632 (v12.2) 2010 年 7 月 23 日

18 I/O ポー ト のイ ンポー ト CSV ファイルのインポート カスタム I/O ポー ト プロパティの 使 用 UCF ファイルのインポート I/O ポー ト の 作 成 I/O ポー ト の 設 定 I/O ポー ト 方 向 の 設 定 差 動 ペアの 定 義 DCI_CASCADE 制 約 の 設 定 DCI カ ス ケー ド 制 約 の 変 更 と 削 除 I/O ピンおよび I/O バン ク の 使 用 禁 止 I/O ポー ト インターフェイスの 作 成 インタラクティブなデザイン ルール チェッ クの 使 用 I/O ポー ト の 配 置 I/O ポー ト の I/O バン クへの 配 置 I/O ポー ト の 定 義 さ れた 領 域 への 配 置 I/O ポー ト の 順 次 配 置 I/O ポー ト の 自 動 配 置 ギガビ ッ ト トランシーバ I/O ポー ト の 配 置 I/O 関 連 の ク ロ ッ ク ロジックの 配 置 I/O とクロック ロジックの 配 置 の 検 証 I/O ポー ト およびク ロ ッ ク ロ ジ ッ ク 関 連 の DRC の 実 行 DRC エラーの 表 示 重 要 度 に 応 じ た 違 反 リ ス ト のフ ィ ルタ 表 示 I/O ポー ト およびク ロ ッ ク ロジック DRC の 詳 細 同 時 ス イ ッ チ ノイズ (SSN) 解 析 の 実 行 ノイズ 解 析 の 実 行 (Virtex-6 および Spartan-6) SSN 結 果 の 表 示 SSN 問 題 の 解 決 I/O バンク プロパティの 表 示 I/O ポー ト ス イ ッ チ 位 相 グループの 定 義 WASSO 解 析 の 実 行 WASSO 解 析 の 実 行 (Spartan-3 Virtex-4 Virtex-5) WASSO 解 析 結 果 の 確 認 I/O 配 置 制 約 の 削 除 I/O ピンとパッケージ データのエ ク ス ポー ト パッケージ ピンの 情 報 のエクスポート I/O ポー ト リストのエクスポート 第 9 章 : デザインのインプリ メンテーション 概 要 インプリ メンテーションの 実 行 インプリ メンテーション オプシ ョ ンの 設 定 インプリ メンテーションの 起 動 インプリ メンテーション run の 開 始 インプリ メンテーション run の 設 定 run ステータスの 監 視 プロジェク ト ステータス 表 示 の 使 用 run のキャンセル コンパイル ログの 表 示 コ マン ド 実 行 中 の 出 力 の 一 時 停 止 プロジェク トのステータス プロジェク ト ステータス バー Flow Navigator のデザイ ン ステート デザ イ ンのア ッ プデー ト が 必 要 であ る こ と を 示 すバナー run 結 果 の 解 析 レポート ファイルの 表 示 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

19 [Compilation Messages] ビュー コンパイル メッセージのフィルタ 処 理 とグループ 化 RTL ソースでのコンパイル 問 題 のハイライ ト [Project Summary] ビュー [Project Settings] セクション [Project State] セクション [Compilation Settings] セクション [Resources] セクション タイミング 結 果 の 表 示 イ ンプ リ メ ンテーシ ョ ン 終 了 後 の 次 のス テ ッ プの 指 定 複 数 run の 実 行 複 数 run の 作 成 複 数 run の 管 理 [Design Runs] ビューの 使 用 アクティブ run の 設 定 run プ ロパテ ィ の 表 示 と 変 更 run の 一 般 プロパテ ィ の 表 示 run のス ト ラテジ オプシ ョ ンの 表 示 ストラテジの ISE コマンド オプシ ョ ン セ ッ ト の 上 書 き run のログの 表 示 レポート ファイルの 表 示 選 択 し た run の 起 動 run のリセット run の 削 除 リモート Linux ホス トでの run の 起 動 リモート Linux ホス トで run を 起 動 する 際 の 制 限 リモート ホス トの 設 定 (Linux のみ) 第 10 章 : イ ン プ リ メ ンテーシ ョ ン 結 果 の 解 析 イ ンプ リ メ ン ト 済 みデザ イ ン を 開 く 複 数 の イ ンプ リ メ ン ト 済 みデザ イ ン を 開 く PlanAhead 外 から の ISE 結 果 の イ ンポー ト New Project ウ ィザードを 使 用 した ISE 結 果 プ ロ ジ ェ ク ト の 作 成 既 存 プ ロ ジ ェ ク ト への 配 置 結 果 の イ ンポー ト 既 存 プ ロ ジ ェ ク ト への ISE TRCE タイミング 結 果 のインポート 配 置 およびタ イ ミ ング 結 果 の 解 析 ザイ リ ンクス TRCE の 結 果 の 表 示 [Timing Results] ビューの 使 用 [Path Properties] ビューの 使 用 [Device] ビューでのタイ ミング パスの 表 示 [Schematic] ビューでのタイ ミング パスの 表 示 ロ ジ ッ ク 接 続 の 表 示 [Show Connectivity] コマンドの 使 用 [Show Connectivity] を 実 行 したロジッ ク 接 続 の 表 示 ロジック ファンアウトの 展 開 と 選 択 [Schematic] ビ ューでの ロ ジ ッ ク の 展 開 表 示 [Find] コマンドを 使 用 したオブジェク トの 検 索 ロジック オブジェ ク ト のハイ ラ イ ト 選 択 し たオブジ ェ ク ト のハイ ラ イ ト [Select Primitives] および [Highlight Primitives] コマンドの 使 用 オブジェ ク ト のハイ ラ イ ト 解 除 選 択 し たオブジ ェ ク ト のマー ク オブジェ ク ト のマーク マークの 削 除 今 後 の イ ンプ リ メ ンテーシ ョ ン 用 の 配 置 ロ ッ ク [Fix Instances] コマンドの 使 用 PlanAhead ユーザー ガイド japan.xilinx.com 19 UG632 (v12.2) 2010 年 7 月 23 日

20 特 定 タ イ プの ロ ジ ッ ク の 固 定 ロジック モジュールの 固 定 デザイ ン メトリックの 表 示 [Metrics] ビューの 使 用 [Device] ビューでのメ ト リ ック マップの 表 示 メトリック 表 示 の 解 除 [Metrics Results] ビューの 使 用 メトリック 範 囲 の 設 定 XPower Analyzer ( 電 力 解 析 ツール ) の 起 動 FPGA Editor の 起 動 FPGA Editor へのタ イ ミ ング パスのク ロスプローブ 第 11 章 : デザイ ンのフ ロアプ ラ ン フロアプラン ス トラテジの 概 要 Pblock の 概 要 Pblock の 作 成 [Draw Pblock] コマンドの 使 用 [New Pblock] コマンドの 使 用 [Create Pblocks] コマンドを 使 用 した 複 数 の Pblock の 作 成 ネス ト 化 された Pblock の 作 成 クロック 領 域 Pblobk の 作 成 Pblock の 図 Pblock プロパティの 表 示 [General] タブ [Statistics] タブ [Instances] タブ [Rectangles] タブ [Attributes] タブ Pblock の 設 定 Pblock ロジック タイプ 範 囲 の 設 定 Pblock へのロ ジ ッ クの 割 り 当 て Pblock のロジッ ク 割 り 当 て 解 除 Pblock の 移 動 と サイ ズ 変 更 Pblock の 移 動 Pblock のサイズ 変 更 [Set Pblock Size] コマンドの 使 用 リ ソ ース 使 用 率 の 統 計 を 使 用 し た Pblock サイズの 決 定 接 続 に 基 づいた Pblock の 配 置 バン ドル ネッ ト プロパティの 表 示 バン ドル ネットのデフォルトの 変 更 複 数 の 長 方 形 があ る Pblock の 使 用 複 数 の 長 方 形 を 含 む Pblock の 作 成 複 数 の 長 方 形 があ る Pblock の 変 更 Pblock 長 方 形 の 削 除 Pblock の 属 性 の 設 定 カ ス タ ム 属 性 の 作 成 Pblock の 名 前 の 変 更 Pblock の 削 除 Pblock 自 動 配 置 プ ロ グ ラ ムの 実 行 配 置 LOC 制 約 固 定 さ れた 配 置 制 約 および 固 定 さ れていない 配 置 制 約 について サ イ ト 制 約 および BEL 制 約 について サイ ト ロ ケーシ ョ ン 配 置 制 約 (LOC) の 割 り 当 て BEL 配 置 制 約 (BEL) の 割 り 当 て 配 置 制 約 の 表 示 / 非 表 示 配 置 制 約 の 移 動 選 択 し た 配 置 制 約 の 削 除 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

TH-80LF50J TH-70LF50J

TH-80LF50J TH-70LF50J TH-80LF50J TH-70LF50J TY-ST58P20 (70V) TY-ST65P20 (80V) TY-WK70PV50 TY-FB10HD TY-PG70LF50 (70V) TY-PG80LF50 (80V) - + - + SERIAL IN, SERIAL OUT AUDIO IN (COMPOSITE) AV IN DVI-D IN/OUT PC IN AUDIO

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

DS-860

DS-860 NPD4958-00 JA 2013 Seiko Epson Corporation. All rights reserved. EPSON EXCEED YOUR VISION Microsoft Windows Windows Server Windows Vista SharePoint Microsoft Corporation Intel Intel Core Intel Corporation

More information

MusicSoft Manager

MusicSoft Manager MusicSoft Manager( ミュージックソフトマネージャー ) は 電子楽器で扱うファイル ( ソングやスタイルデータ ) を iphone/ipod touch/ipad 上で管理するアプリケーションです 本アプリケーションにより以下のことができます データのダウンロード購入 データをアプリと楽器 コンピューター オンラインストレージサービス Dropbox ( ドロップボックス ) 間で転送

More information

License

License 第三者のソフトウェアについて お客様がご購入のキヤノン製品 ( 以下 本製品 ) には 第三者のソフトウェア モジュール ( その更新されたものを含み以下 第三者ソフトウェア ) が含まれており かかる 第三者ソフトウェア には 以下 1~8 の条件が適用されます 1. お客様が 第三者ソフトウェア の含まれる 本製品 を 輸出または海外に持ち出す場合は 日本国及び関連する諸外国の規制に基づく関連法規を遵守してください

More information

Operating Instructions

Operating Instructions 1 2 function L L L L L L L L L L L L L L L L L L L L L L L L L L L 1 1 L L 1. 2. 3. L 1. 2. L 1 2 3 4 6 5 7 8 9 L L L L L L L L L L A B C D EFG H I J K L M NO P Q R A { } L B {} L C {} L D {} L E { }

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 4 vii 5 6 7 8 9 viii This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

ProcessDiagramQRG.book

ProcessDiagramQRG.book igrafx プロセス 図 表 クイック リファレンス ガイド 標 準 ツールバー A. 新 規 作 成 B. 開 く C. 保 存 D. 印 刷 A B C D E F G H I J K E. 印 刷 プ レ ビ ュー F. スペルチェ ッ ク G. 元 に 戻 す / やり 直 し H. 図 形 パレ ッ ト を 開 く I. 描 画 J. 戻 る / 進 む K. ズーム コントロール エクスプローラ

More information

Readme

Readme ---------------------------------------------------- PaperStream Capture Lite 1.0.1 README ---------------------------------------------------- Copyright PFU LIMITED 2016 このファイルには 本製品をお使いになる前にお読みいただきたい注意事項や

More information

DS-510

DS-510 NPD4887-01 JA 2013 Seiko Epson Corporation. All rights reserved. EPSON EXCEED YOUR VISION Microsoft Windows Windows Vista SharePoint Microsoft Corporation Macintosh Mac OS OS X Apple Inc. Intel Intel Core

More information

BRA1209A_Ja_001_009.p65

BRA1209A_Ja_001_009.p65 BD-V300J = = ª B-CAS »« 1 2 3 3 2 4 3 «««« 3 3 3 3 3 3 3 3 3 3 2 2 3 3 3 1. 2. 1 2 34 5 6 7 890 - = ~! @ # 1 2 3 4 5 6 7 8 9 0 - = ~! @ # $ 12345689 $ 12! 2! 3 2 2 « 1. 1 2 2. 3. 3 4 4. « ««

More information

Microsoft Word - LaCie Manual_JA080719doc.doc

Microsoft Word - LaCie Manual_JA080719doc.doc Macintosh Intego Backup Assistant Intego Backup Manager Pro 2008 Intego. All Rights Reserved Intego http://lacie.intego.com Intego Backup Assistant Intego Backup Manager Pro for Macintosh Intego Backup

More information

42 25 36 26 62 28 84 76 77 73 40 80 81 8 21 22 25 26 26 27 28 28 30 31 32 33 73 73 74 76 77 80 81 83 84 90 94 94 96 98 110 112 35 36 38 39 40 42 44 54 57 61 62 64 69 72 115 116 116 117 118 126 127 130

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

ソフトウェア説明書 Interstage Shunsaku Data Manager Enterprise Edition V9.0.0 評価版

ソフトウェア説明書 Interstage Shunsaku Data Manager Enterprise Edition V9.0.0 評価版 ソフトウェア説明書 Interstage Shunsaku Data Manager Enterprise Edition V9.0.0 評価版 はじめに 本ソフトウェア説明書は Interstage Shunsaku Data Manager Enterprise Edition の取り扱いおよび ご使用に際して注意すべき事項や参考となる情報を記したものです 本ソフトウェアはインストール後 90

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

LWN-A54APS 設定ガイド

LWN-A54APS 設定ガイド LWN-A54APS_SETTING V02 LAN LWN-A54APS WEB Logitec LAN LAN LAN LWN-A54APS 1.... 2 2.... 5... 7... 7... 7... 10... 11... 11... 14... 15... 18... 18 USB... 21... 23... 24... 24... 25... 26 AP... 26... 27

More information

目次 1. 珠肌 Photoshop プラグインについて はじめに 必要システム構成 インストールとアクティベーション 珠肌 for Photoshop をインストールする アクティベーションする...

目次 1. 珠肌 Photoshop プラグインについて はじめに 必要システム構成 インストールとアクティベーション 珠肌 for Photoshop をインストールする アクティベーションする... 写真用美肌フィルタープラグイン ユーザーマニュアル Ver.1.0 目次 1. 珠肌 Photoshop プラグインについて... 1 1.1. はじめに... 1 1.2. 必要システム構成... 1 2. インストールとアクティベーション... 2 2.1. 珠肌 for Photoshop をインストールする... 2 2.2. アクティベーションする... 4 2.3. インストールの確認...

More information

基本操作ガイド

基本操作ガイド HT7-0022-000-V.4.0 Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 4 1 1 2 3 4 5 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 6 7 1 2 3 4

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

Microsݯft Word - 91 forܠ2009November.docx

Microsݯft Word - 91 forܠ2009November.docx 特 集 : Query & Analysis の 仕 訳 転 送 機 能 SunSystems と 連 携 し て 使 用 す る こ と が で き る Infor Performance Management Query&Analysis( 以 下 Q&A) ( 旧 : SunSystems Vision ) と い う 製 品 が あ り ま す Q&A は Microsoft Excel の

More information

珠肌 for Video ユーザーマニュアル

珠肌 for Video ユーザーマニュアル 動画用美肌フィルタープラグイン ユーザーマニュアル Ver.1.0 目次 1. 珠肌 for Video について... 1 1.1. はじめに... 1 1.2. 必要システム構成... 1 2. インストールとアクティベーション... 2 2.1. 珠肌 for Video をインストールする... 2 2.2. アクティベーションする... 4 2.3. インストールの確認... 5 2.4.

More information

雇用保険被保険者資格取得届(様式)編

雇用保険被保険者資格取得届(様式)編 平 成 2 8 年 3 月 1 日 第 4 版 発 行 e-gov 電 子 申 請 実 務 マ ニ ュ ア ル 香 川 県 社 会 保 険 労 務 士 会 目 次 1. 手 続 検 索 1 2. 申 請 書 の 作 成 3 3. 被 保 険 者 資 格 取 得 届 の 作 成 7 4. 提 出 代 行 証 明 書 の 添 付 8 5. 署 名 の 実 施 1 0 6. 申 請 書 の 送 信 1 1

More information

ScanFront 300P/330 取扱説明書

ScanFront 300P/330 取扱説明書 ScanFront 300P/330 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

ATOK Syncの設定方法と使い方(Mac用)

ATOK Syncの設定方法と使い方(Mac用) ATOK Sync ア ド バ ン ス 設 定 方 法 と 使 い 方 ~ Mac 版 を お 使 い の 方 へ ~ ATOK Sync ア ド バ ン ス と は 単 語 登 録 や 学 習 し た 内 容 を 保 存 す る ユ ー ザ ー 辞 書 や お 気 に 入 り 文 書 省 入 力 デ ー タ 確 定 履 歴 の デ ー タ な ど を イ ン タ ー ネ ッ ト 上 の サ ー バ

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

Appendix

Appendix Appendix Appendix-A PHP 392 Appendix-B -> cd ext/pgsql -> phpize ->./configure --with-pgsql -> make -> make EXTENSION_DIR=/usr/local/lib/php/extensions install extension_dir = "/usr/local/lib/php/extensions/"

More information

2

2 JA カシオメンバーズは カシオ製品にご興味をお持ちの方向けに提供するカシオの会員サイトです ご入会されますと以下のサービスをご利用いただけます 1. 様々なカシオ製品のユーザー登録ができます 2. キャンペーンの応募などに便利です 3. 情報満載のメールマガジンをご登録いただけます 2 . 3 4 5 1 1 9bkbl bm bn 587 6 5 4 2 3 bo bp bq p 0 6 . *

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

目 次 第 1 章 は じ め に... 3 第 2 章 基 本 的 な キ ー 操 作... 4 第 3 章 メ ニ ュ ー 画 面... 6 第 4 章 入 荷 業 務... 7 第 5 章 出 荷 業 務... 9 第 6 章 商 品 照 会...11 第 7 章 棚 卸 業 務...12 第

目 次 第 1 章 は じ め に... 3 第 2 章 基 本 的 な キ ー 操 作... 4 第 3 章 メ ニ ュ ー 画 面... 6 第 4 章 入 荷 業 務... 7 第 5 章 出 荷 業 務... 9 第 6 章 商 品 照 会...11 第 7 章 棚 卸 業 務...12 第 入 出 荷 棚 卸 収 集 Biz スタートパック 操 作 説 明 書 目 次 第 1 章 は じ め に... 3 第 2 章 基 本 的 な キ ー 操 作... 4 第 3 章 メ ニ ュ ー 画 面... 6 第 4 章 入 荷 業 務... 7 第 5 章 出 荷 業 務... 9 第 6 章 商 品 照 会...11 第 7 章 棚 卸 業 務...12 第 8 章 パ ソ コ ン の

More information

Si-R130取扱説明書

Si-R130取扱説明書 C133-E102-04 June 2002 INS 64 ISDN OCN DA64 128 LAN-WAN WWW WWW LAN 2002 6 ISDN B1 B2 10BASE-T LAN 1 1 P.648 ISDN P.641 P.528 Microsoft Corporation All rights reserved, Copyright 2002 1 ...1...2...11...13...

More information

変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/24 ご利用上の注意点 注意点を追加 2015/9/ の文言修正と画像差し替え デフォルト設定変更に伴う文言修正と画像差し替え 2

変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/24 ご利用上の注意点 注意点を追加 2015/9/ の文言修正と画像差し替え デフォルト設定変更に伴う文言修正と画像差し替え 2 ozziocloud(web ツール ) ユーザーガイド Last update 2015.9.24 1 変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/24 ご利用上の注意点 注意点を追加 2015/9/24 3.17 の文言修正と画像差し替え デフォルト設定変更に伴う文言修正と画像差し替え 2 1. はじめに...

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

第1回

第1回 やすだ 社 会 学 研 究 法 a( 2015 年 度 春 学 期 担 当 : 保 田 ) 基 礎 分 析 ( 1): 一 変 量 / 二 変 量 の 分 析 SPSSの 基 礎 テ キ ス ト pp.1-29 pp.255-257 デ ー タ の 入 力 [ デ ー タ ビ ュ ー ] で Excelの よ う に 直 接 入 力 で き る [ 変 数 ビ ュ ー ] で 変 数 の 情 報 を

More information

PlanAhead ソフトウェア チュートリアル : RTL デザインと CORE Generator を使用した IP の生成

PlanAhead ソフトウェア チュートリアル : RTL デザインと CORE Generator を使用した IP の生成 RTL デザインと CORE Generator を使用した IP の生成 UG 675 (v 12.2) 2010 年 7 月 23 日 Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs

More information

Post.Office 4.1 Additional Manual

Post.Office 4.1 Additional Manual マニュアル バージョン4.2 2011 年 8 月 Open Technologies 目 次 1. 新 機 能 について... 1 2. 送 信 ドメイン 認 証 (SPF) 機 能... 2 2.1. SPF 認 証 とは... 2 2.2. SPF 認 証 の 仕 組 み... 2 2.3. 送 信 ドメイン 認 証 (SPF) 機 能 の 設 定 方 法... 3 2.4. 送 信 ドメイン

More information

TH-65/60PF30

TH-65/60PF30 TH-65PF3 TH-6PF3 TY-ST58P2 TY-ST65P2 TY-ST58PF2 TY-WK65PR2 TY-SP58P1K TY-SP65P11K TY-FB9BD TY-FB11DD TY-FB3DD3D TY-FB11DHD TY-FB3DHD3D TY-FB1HD TY-TB1AV TY-FB1HMD TY-FB9RT TY-FB11DTJ TY-3D3TRW

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT7-0030-V04 1 ...5...10...11...11...11...12...12...15...21...23...25...29...32...38...43...44...50...52...55...55...59...60...61...61...62...63...64...65...66...67...69...69...70...71...72...73...84

More information

2

2 SXSXD 2 3 4 5 6 7 8 9 10 11 12 13 DC12V EIAJ RC5320A Class4 14 15 16 17 18 19 20 21 22 23 24 25 26 SCOPE CHART SCOPE CHART CHART SCOPE SCOPE SCOPE CHART CHART 27 SCOPE MODE CHART MODE 28 29 CHART MODE

More information

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747)

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747) デザインの保持チュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

の と す る (1) 防 犯 カ メ ラ を 購 入 し 設 置 ( 新 設 又 は 増 設 に 限 る ) す る こ と (2) 設 置 す る 防 犯 カ メ ラ は 新 設 又 は 既 設 の 録 画 機 と 接 続 す る こ と た だ し 録 画 機 能 付 防 犯 カ メ ラ は

の と す る (1) 防 犯 カ メ ラ を 購 入 し 設 置 ( 新 設 又 は 増 設 に 限 る ) す る こ と (2) 設 置 す る 防 犯 カ メ ラ は 新 設 又 は 既 設 の 録 画 機 と 接 続 す る こ と た だ し 録 画 機 能 付 防 犯 カ メ ラ は 小 牧 市 地 域 防 犯 カ メ ラ 等 設 置 補 助 金 交 付 要 綱 平 成 2 8 年 3 月 2 2 日 2 7 小 市 安 第 7 5 7 号 ( 通 則 ) 第 1 条 小 牧 市 地 域 防 犯 カ メ ラ 等 設 置 補 助 金 ( 以 下 補 助 金 と い う )の 交 付 に つ い て は 市 費 補 助 金 等 の 予 算 執 行 に 関 す る 規 則 ( 昭 和

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

readme

readme PaperStream IP ドライバ 1.8 README ファイル Copyright PFU LIMITED 2013-2014 このファイルには 本製品に関する注意事項やドキュメントに含まれていない情報が記載されています 目次 1. 高度な安全性が要求される用途への使用について 2. 動作環境 3. インストールに関する注意事項 4. ドライバの共存に関する注意事項 5. プレビュー機能に関する注意事項

More information

ソ フ ト ウ ェ ア ト ー ク ン の ダ ウ ン ロ ー ド 方 法 以 下 の サ イ ト か ら ダ ウ ン ロ ー ド 方 法 の 確 認 を 行 っ て く だ さ い な お ソ フ ト ウ ェ ア ト ー ク ン に つ い て の 詳 細 や ご 利 用 方 法 よ く あ る

ソ フ ト ウ ェ ア ト ー ク ン の ダ ウ ン ロ ー ド 方 法 以 下 の サ イ ト か ら ダ ウ ン ロ ー ド 方 法 の 確 認 を 行 っ て く だ さ い な お ソ フ ト ウ ェ ア ト ー ク ン に つ い て の 詳 細 や ご 利 用 方 法 よ く あ る ワンタイムパスワード(ソフトウェアトークン)のご 案 内 当 金 庫 で は 個 人 イ ン タ ー ネ ッ ト バ ン キ ン グ の ロ グ イ ン 時 の 本 人 認 証 方 法 と し て 固 定 パ ス ワ ー ド ( 契 約 者 I D ロ グ イ ン パ ス ワ ー ド ) に よ る 認 証 に 加 え パ ス ワ ー ド 生 成 ソ フ ト ウ ェ ア ト ー ク ン( 以 下 ト

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

Readme

Readme ---------------------------------------------------- PaperStream Capture 2.0.1 README ---------------------------------------------------- Copyright PFU Limited 2013-2016 このファイルには 本製品をお使いになる前にお読みいただきたい注意事項や

More information

EP-704A

EP-704A NPD4533-01 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...29...30...30...31...34

More information

PX-434A/PX-404A

PX-434A/PX-404A NPD4534-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...26...27...27...28...28...31 Web...31...31...35...35...35...37...37...37...39...39...40...43...48

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

1 フ ラ ッ シ ュ カ ー ド ( サ ン プ ル ) の ス ラ イ ド を パ ワ ー ポ イ ン ト で 作 っ て み ま し ょ う 以 下 の ス ラ イ ド ( 2 枚 目 ~ 4 枚 目 を 作 り ま す あ ら か じ め 作 業 用 の フ ァ イ ル を デ ス ク ト

1 フ ラ ッ シ ュ カ ー ド ( サ ン プ ル ) の ス ラ イ ド を パ ワ ー ポ イ ン ト で 作 っ て み ま し ょ う 以 下 の ス ラ イ ド ( 2 枚 目 ~ 4 枚 目 を 作 り ま す あ ら か じ め 作 業 用 の フ ァ イ ル を デ ス ク ト 知 識 の 定 着 を 図 るプレゼンテーションソフト 活 用 福 岡 県 教 育 センターホームページ http://www.educ.pref.fukuoka.jp 授 業 なんでも 相 談 室 092-947-0008 support@educ.pref.fukuoka.jp 1 フ ラ ッ シ ュ カ ー ド ( サ ン プ ル ) の ス ラ イ ド を パ ワ ー ポ イ ン ト で 作

More information

Ver.1 Copyright 2008 Copyright 1995-2008 Trend Micro Incorporated. All Rights Reserved. 2008 3 - 1. 2. 3. 4. 11 5. 1 1 ウイルス / スパイウェア対策 Web 不正侵入対策 / ネットワーク管理 1 フィッシング詐欺 / 迷惑メール対策 Web Web 2 セキュリティ対策ツールが利用できるようになるまでの流れ

More information

sato-FBSDW key

sato-FBSDW key 3 FreeBSD (18:30 / FreeBSD Project 2014/12/26 2014/12/26 (c) Hiroki Sato 1 / 45 2014/12/26 (c) Hiroki Sato 2 / 45 2014/12/26 (c) Hiroki Sato 3 / 45 2014/12/26 (c) Hiroki Sato 4 / 45 2

More information

は じ め に 懸 賞 フ ァ ン の 皆 さ ん 毎 日 応 募 し て い ま す か? 成 果 は い か が で す か? イ ン タ ー ネ ッ ト で は 毎 日 非 常 に た く さ ん の ホ ー ム ペ ー ジ で 膨 大 な 数 の 懸 賞 プ レ ゼ ン ト が 実 施 さ

は じ め に 懸 賞 フ ァ ン の 皆 さ ん 毎 日 応 募 し て い ま す か? 成 果 は い か が で す か? イ ン タ ー ネ ッ ト で は 毎 日 非 常 に た く さ ん の ホ ー ム ペ ー ジ で 膨 大 な 数 の 懸 賞 プ レ ゼ ン ト が 実 施 さ 無 料 レポート 懸 賞 プレゼント 超 効 率 的 で 安 全 な 応 募 の 裏 ワザ 懸 賞 プレゼント 当 選 研 究 会 Copyright (C) 2006 Interface All Rights Reserved 許 可 な く 本 書 の 一 部 ま た は 全 部 を 複 製 転 載 す る こ と を 禁 じ ま す - 1 - は じ め に 懸 賞 フ ァ ン の 皆 さ

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

-5 DMP-BV300 μ μ l μ l l +- l l j j j l l l l l l l l l l l l l Ë l l l l l l l l l l l l l l l l l l l l l l l BD DVD CD SD USB 2 ALL 1 2 4 l l DETACH ATTACH RELEASE DETACH ATTACH DETACH ATTACH RELEASE

More information

IM 21B04C50-01

IM 21B04C50-01 User s Manual Blank Page Media No. (CD) 5th Edition : Sep. 2009 (YK) All Rights Reserved. Copyright 2001, Yokogawa Electric Corporation Yokogawa Electric Corporation Software License Agreement This

More information

1. 電 子 メール カレンダー 連 絡 先 の 統 合 Outlook は 受 信 箱 の 操 作 方 法 が 一 貫 して いる 点 が 何 も 考 えなくても 自 然 に 操 作 できる と お 客 様 に 好 評 です Gmail では 操 作 性 に 影 響 する 機 能 更 新 が 頻

1. 電 子 メール カレンダー 連 絡 先 の 統 合 Outlook は 受 信 箱 の 操 作 方 法 が 一 貫 して いる 点 が 何 も 考 えなくても 自 然 に 操 作 できる と お 客 様 に 好 評 です Gmail では 操 作 性 に 影 響 する 機 能 更 新 が 頻 1. 電 子 メール カレンダー 連 絡 先 の 統 合 Outlook は 受 信 箱 の 操 作 方 法 が 一 貫 して いる 点 が 何 も 考 えなくても 自 然 に 操 作 できる と お 客 様 に 好 評 です Gmail では 操 作 性 に 影 響 する 機 能 更 新 が 頻 繁 に 行 われているため 新 しい 操 作 方 法 を 頻 繁 に 習 得 する 必 要 があります

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

Microsoft Word - 操作マニュアル(石油コンビナート_オフラインソフト編)_v0.2.doc

Microsoft Word - 操作マニュアル(石油コンビナート_オフラインソフト編)_v0.2.doc 総 務 省 消 防 庁 統 計 調 査 系 システム 操 作 マニュアル 石 油 コンビナート 等 実 態 調 査 業 務 (オフライン オフラインソフト 編 ) 第 0.2 版 平 成 25 年 3 月 総 務 省 消 防 庁 改 訂 履 歴 版 改 訂 日 改 訂 内 容 第 0.1 版 平 成 24 年 1 月 24 日 新 規 作 成 第 0.2 版 平 成 24 年 3 月 2 日 第 4

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

<4D F736F F D20694F538F5A91EE A20838A C >

<4D F736F F D20694F538F5A91EE A20838A C > ios 住宅 API ライブラリ リファレンス Version 1.0 更新履歴 版改版年月日 発行改版内容 1.0 2015/2/5 新規作成 目次 1. はじめに... 1 2. ライブラリ基本情報... 1 2.1. 動作環境... 1 2.2. ライセンス... 2 3. ライブラリの利用方法... 3 3.1. ライブラリの利用方法... 3 4. リファレンス... 5 4.1. コマンドを生成する...

More information

1.indd

1.indd Ver.1 Copyright 2008 Copyright 1995-2008 Trend Micro Incorporated. All Rights Reserved. 2008 9 - 1. 3 2. 5 3. 6 4. 11 5. 22 6. 24 28 2 1 ウイルス / スパイウェア対策 Web 不正侵入対策 / ネットワーク管理 1 3 フィッシング詐欺 / 迷惑メール対策 Web

More information

EPSON EP-803A/EP-803AW ユーザーズガイド

EPSON EP-803A/EP-803AW ユーザーズガイド NPD4293-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...30...30...31...31...31...35

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

EPSON EP-703A ユーザーズガイド

EPSON EP-703A ユーザーズガイド NPD4295-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...30...30...31...31...34

More information

VQT3B86-4 DMP-HV200 DMP-HV150 μ μ l μ

VQT3B86-4 DMP-HV200 DMP-HV150 μ μ l μ -4 DMP-HV200 DMP-HV150 μ μ l μ [DMP-HV200] l [DMP-HV200] l +- l l j j j[dmp-hv200] l l l [DMP-HV200] l l l l [DMP-HV200] l [DMP-HV200] l l [DMP-HV200] l [DMP-HV200] [DMP-HV150] l l Ë l l l l l l l l l

More information

イ ン チ ー ザ ヴ ィ チ ェ ン ツ ァ ヴ ィ ッ ロ ル バ ( ト レ ビ ゾ 近 郊 ) ヴ ィ ン チ ヴ ェ ル バ ニ ア ヴ ェ ロ ー ナ エ リ チ ェ カ タ ー ニ ャ ( 3 月 ~ 1 0 月 ) ( 1 1 月 ~ 2 月 ) 5 0 ユ ー ロ 以 上 介 護

イ ン チ ー ザ ヴ ィ チ ェ ン ツ ァ ヴ ィ ッ ロ ル バ ( ト レ ビ ゾ 近 郊 ) ヴ ィ ン チ ヴ ェ ル バ ニ ア ヴ ェ ロ ー ナ エ リ チ ェ カ タ ー ニ ャ ( 3 月 ~ 1 0 月 ) ( 1 1 月 ~ 2 月 ) 5 0 ユ ー ロ 以 上 介 護 イタリア 各 都 市 における 滞 在 税 ( 宿 泊 税 )の に 関 して 平 素 は 格 別 の お 引 き 立 て を 賜 り 誠 に 有 難 う ご ざ い ま す こ の 度 2 0 1 1 年 1 月 1 日 よ り ロ ー マ に お い て ご 宿 泊 の お 客 様 を 対 象 に 滞 在 寄 付 金 ( C o n t r i b u t o d i S o g g i o r

More information

メールソフトの 設 定 アルフ ァ メ ールプラチナのメ ールア ド レスは 普 段 ご 利 用 の メ ールソ フ ト で も メ ールを 送 受 信 する こ とができま 基 本 設 定 項 目 メールアカウント メールパスワード 受 信 メールサーバ アカウント 名 % ド メイン 名 アルフ

メールソフトの 設 定 アルフ ァ メ ールプラチナのメ ールア ド レスは 普 段 ご 利 用 の メ ールソ フ ト で も メ ールを 送 受 信 する こ とができま 基 本 設 定 項 目 メールアカウント メールパスワード 受 信 メールサーバ アカウント 名 % ド メイン 名 アルフ メール/ FTP ソフト この 章 では メ ールソ フ ト および FTP ソ フ ト の 設 定 方 法 につい てご 案 内 していま 132 FTP ソ フ ト の 設 定 141 メールソフトの 設 定 アルフ ァ メ ールプラチナのメ ールア ド レスは 普 段 ご 利 用 の メ ールソ フ ト で も メ ールを 送 受 信 する こ とができま 基 本 設 定 項 目 メールアカウント

More information

μ μ DMR-BZT700 DMR-BZT600 μ TM VQT3C03-2B ! ! l l l [HDD] [BD-RE] [BD-R] [DVD-V] [BD-V] [RAM] [CD] [SD] [-R] [USB] [-RW] [RAM AVCREC ] [-R AVCREC ] [RAM VR ][-R VR ] [-RW VR ] [-R V ] [-RW V ] [DVD-V]

More information

untitled

untitled VQT3B82-1 DMP-BDT110 μ μ μ 2 VQT3B82 ÇÕÇ¹Ç Ç +- VQT3B82 3 4 VQT3B82 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 ij SD 1 2 3 4 5 6 7 8 Í VQT3B82 5 BD DVD CD SD USB 6 VQT3B82 2 ALL 1 2 4 VQT3B82 7

More information

変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ozziocloud(android 用 ) をアンイン 新規追加 ストールする 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/11 付録設定情報インポート リスト 新規追加 ア項目 (

変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ozziocloud(android 用 ) をアンイン 新規追加 ストールする 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/11 付録設定情報インポート リスト 新規追加 ア項目 ( ozzio cloud(android 用 ) ユーザーガイド Last update 2016.7.22 1 変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ozziocloud(android 用 ) をアンイン 新規追加 ストールする 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/11 付録設定情報インポート リスト 新規追加

More information

ショートカット 一 覧 のショートカット/ 文 字 入 力 で 使 用 ショートカット ショートカット 一 覧 本 書 の で 説 明 している 様 々な 操 作 を 行 うためのショートカットを 案 内 します ショートカットを 使 用 と 簡 単 な 操 作 で 手 順 を 実 行 ことができま

ショートカット 一 覧 のショートカット/ 文 字 入 力 で 使 用 ショートカット ショートカット 一 覧 本 書 の で 説 明 している 様 々な 操 作 を 行 うためのショートカットを 案 内 します ショートカットを 使 用 と 簡 単 な 操 作 で 手 順 を 実 行 ことができま 付 録 ショートカット 一 覧 のショートカット/ 文 字 入 力 で 使 用 ショートカット ショートカット 一 覧 本 書 の で 説 明 している 様 々な 操 作 を 行 うためのショートカットを 案 内 します ショートカットを 使 用 と 簡 単 な 操 作 で 手 順 を 実 行 ことができます のショートカット 端 末 の 操 作 基 本 に 使 用 です ホームスクリーンまたはアプ

More information

ContentDistributor for FlashAir

ContentDistributor for FlashAir ソフトウェア 取扱説明書 はじめに e-signage 楽々配信くん for FlashAir は 端末に保存されているコンテンツをウィザード 形式で FlashAir に簡単に登録するソフトウェアです お願い お客様もしくは第三者が本ソフトウェアの使用を誤ったことにより生じた故障 不具合 またはそれらに基づく損害については 法令上の責任が認められる場合を除き 当社は一切その責任を負いませんので あらかじめご了承ください

More information

(Microsoft Word - \203A \225\345\217W\227v\227\314 .doc)

(Microsoft Word - \203A  \225\345\217W\227v\227\314 .doc) ま ち づ く り 推 進 の た め の ア ン ケ ー ト 調 査 及 び 分 析 業 務 委 託 プ ロ ポ ー ザ ル 募 集 要 領 1 趣 旨 本 要 領 は, ま ち づ く り 推 進 の た め の ア ン ケ ー ト 調 査 及 び 分 析 業 務 委 託 の 受 託 者 を 特 定 す る た め, 企 画 提 案 型 プ ロ ポ ー ザ ル 方 式 ( 以 下 プ ロ ポ ー

More information

PX-B750F

PX-B750F NPD4539-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...16...16...17...18...20...22!ex...22...23...26...27...27...28...28...30 Web...30...30...34...34...34...35...36...36...38...40...40...44...46...51

More information

PFS-Readme

PFS-Readme Cell Storage Service : Storage Service that has the high reliability and the high availability by Paxos consensus algorithm. CSS-Readme.txt : This manual describes the process to make the envirornments

More information

PX-673F

PX-673F NPD4385-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...29...29...30...30...33

More information

readme

readme fi シリーズ PaperStream IP ドライバ 1.10 README ファイル Copyright PFU LIMITED 2013-2014 このファイルには 本製品に関する注意事項やドキュメントに含まれていない情報が記載されています 目次 1. 高度な安全性が要求される用途への使用について 2. 動作環境 3. インストールに関する注意事項 4. スキャナドライバの共存に関する注意事項

More information

untitled

untitled TZ-BDT910M TZ-BDT910F TZ-BDT910P μ μ μ μ TM VQT3F51-1 l l l [HDD] [BD-RE] [BD-R] [DVD-V] [BD-V] [RAM] [CD] [SD] [-R] [USB] [-RW] [RAM AVCREC ] [-R AVCREC ] [RAM VR ][-R VR ] [-RW VR ] [-R V ] [-RW

More information

RN.book

RN.book NEC Express5800 PowerChute Business Edition v.7.0 PowerChute Business Edition v.7.0 PowerChute Business Edition v.7.0 / PowerChute Business Edition v.7.0 : Microsoft Windows Microsoft Corporation Smart-UPS

More information

目 次 1. 大 学 情 報 データベースシステムの 使 用 方 法 について... 1 1.1.EXCEL 一 括 登 録... 1 1.2.EXCEL ダウンロード... 2 1.2.1. 検 索 条 件 の 指 定 プレビュー... 3 1.2.2.EXCEL ダウンロード(データ 抽 出 あ

目 次 1. 大 学 情 報 データベースシステムの 使 用 方 法 について... 1 1.1.EXCEL 一 括 登 録... 1 1.2.EXCEL ダウンロード... 2 1.2.1. 検 索 条 件 の 指 定 プレビュー... 3 1.2.2.EXCEL ダウンロード(データ 抽 出 あ 大 学 情 報 データベースシステム EXCEL 一 括 登 録 マニュアル 目 次 1. 大 学 情 報 データベースシステムの 使 用 方 法 について... 1 1.1.EXCEL 一 括 登 録... 1 1.2.EXCEL ダウンロード... 2 1.2.1. 検 索 条 件 の 指 定 プレビュー... 3 1.2.2.EXCEL ダウンロード(データ 抽 出 あり)... 5 1.2.3.EXCEL

More information