Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Size: px
Start display at page:

Download "Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート"

Transcription

1 XAPP485 (v1.1) R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR Spartan-3E FPGA DFS Spartan-3E FPGA Mbps Mbps Clock Clock 4- or 5-bit LVDS Data Spartan-3E Receiver Macro 28- or 35-bit Received Data 1 : 1:7 XAPP485_01_ ( 4 ) Xilinx, Inc. All Rights Reserved. XILINX Xilinx Xilinx Xilinx Xilinx Inc. : Xilinx Xilinx Xilinx XAPP485 (v1.1) japan.xilinx.com 1

2 R 4-Bit Data Framing Rx Clock Data Line Data Line 1 Data Line 2 Data Line 3 28 bits in one data word 5-Bit Data Framing Data Line Data Line 1 Data Line 2 Data Line 3 Data Line 4 35 bits in one data word X485_02_ : 4 5 DFS / (IOB) DDR DCM CC (Clock Capable) IOB (GCLK ) Spartan-3E (I/O 0) (I/O 2) 2 I/O 0 Spartan-3E FPGA IOB IOB 2 2 japan.xilinx.com XAPP485 (v1.1)

3 R 1 DFS 3.5 ( 90 ) ISE 8.1 SP3 55 ( ) ISE 110 DFS ZIP 1 FPGA (P) DCM (T) (V) 9 DDR 1 1 Spartan-3E DFS 2 2 DFS CLKFX CLKFX DFS 333MHz ( 666Mbps) Mbps DFS FPGA DFS 5MHz ( 17.5Mbps) ( 1 ) Rx Clock Rxclock35 Rxclock35not 3 : X485_03_03260 XAPP485 (v1.1) japan.xilinx.com 3

4 R IOB DDR M=7 D=2 DCM CLK0 CLKFX rxclk rxclk35 CLKFX180 rxclk35not rxclk35not Rx Clock In IOB DDR Flip-Flops 2 State Machine CE Data In rxclk Parallel Registers dataout 4 : Spartan-3E 1:7 (5 ) X485_04_ rxclk35 10 (5 ) 8 (4 ) (5 ) 28 (4 ) (ps) ASSP RSKM 2 5 RSKM 4 japan.xilinx.com XAPP485 (v1.1)

5 R Bit Period Clock Uncertainty/2 RSKM Sample Window RSKM 5 : (RSKM) Clock Uncertainty/2 X485_07_ T SAMP VLDS Spartan-3E 600ps a. IOB b. 2 c. d. IOB 50ps 2. 1 CLKOUT_PER_JITT_FX_35 T J35 Spartan-3E FPGA Spartan-3E 400ps + 2 ( ) a. DFS 3.5 DFS b. ( ) T J35 (150ps) c. PCB d. FPGA 12 40MHz 25 e. I/O 40MHz 40 SSO ( ) f. 4 FT256 XAPP485 (v1.1) japan.xilinx.com 5

6 R RSKM Excel (xapp485.zip) 5 RSKM 600Mbps DFS 300MHz 1666ps 1/600Mbps ( ps) T SAMP 600ps T J (10 6 / 300) ps 500ps PCB = 100ps RSKM 1666ps 1/600Mbps ( ps) T SAMP 600ps T J (10 6 /300) ps = 600ps 2 300ps RSKM DS312 : Spartan-3E -4-5 Spartan-3E 1 1 : RSKM CLKOUT_PER_JITT_FX_35 (T J35 ) T SAMP CLKFX_MULTIPLY = 7 CLKFX_DIVIDE = 2 DCM CLKFX/CLKFX180 4 PCB FT ps 40MHz 25% SSO ( ) 40MHz 40 IOB 2 I/O -5-4 ±[CLKFX ] ps 600 ps 4 5 Verilog VHDL (xapp485.zip) (UCF ) / ZIP / spartan3e.serdes71@xilinx.com E ( ) BGA VQ100 TQ144 PQ208 QFP (Quad Flat Pack) 6 japan.xilinx.com XAPP485 (v1.1)

7 R 2 500Mbps I/O DCM 4 5 DC spartan3e.serdes71@xilinx.com E ( ) ISE 8.1 SP2 Synplicity 8.4 ISE VHDL Verilog 2 1. ISE (Synplicity ) [Synthesize-XST] [Properties] [Keep Hierarchy] [Yes] 2. ISE ignore_keep_hierarchy mapper ( Synplicity ) [Implement Design] [Map] [Properties] [Other Map Command Line Options] ignore_keep_hierarchy (*.UCF) RLOC_ORIGIN I/O x CLB 3 ( 6 ) 5 4 x CLB 4 ( 7 ) LVDS 100Ω PCB DIFF_TERM IOB FPGA DIFF_TERM 120Ω LVDS Spartan-3E FPGA DIFF_TERM XAPP485 (v1.1) japan.xilinx.com 7

8 R RLOC_ORIGIN 6 : 4 Spartan-3E X485_05_ RLOC_ORIGIN 7 : 5 Spartan-3E X485_06_ japan.xilinx.com XAPP485 (v1.1)

9 R ( ) 7 Low High DCM 3.5 IOB 8 9 DCM_SP RXCLK35 RXCLK35 IOB FF MON RXCLK PSCLK PSEN PSINCDEC RXCLK35NOT SYSCLK CTLCLK PSDONE LOCKEDIN SYSRST RST auto_phase_align_se3 LOCKEDOUT X485_08_ : (after IOB sample) RXCLK35 Sample Point = 0 Extra delay inserted into the clock by the DCM when the phase value is incremented 9 : (RXCLK35) Sample Point = 0 X485_09_ (DCM ) 10 DCM (n 1 ) XAPP485 (v1.1) japan.xilinx.com 9

10 R (after IOB sample) RXCLK35 Sample Point = 0 Extra delay inserted into the clock by the DCM when the phase value is incremented Sample Point = 1 10 : 0 1 X485_10_ IOB (n 2 ) n 1 n 2 1 (after IOB sample) RXCLK35 Sample Point = 0 Extra delay inserted into the clock by the DCM when the phase value is incremented Sample Point = 1 11 : X485_11_ Spartan-3E FPGA Spartan-3 FPGA ( ) Spartan-3E Spartan-3 Spartan-3E 25ps n 1 n 2 n 1 n 2 (n 3 ) LOCKEDOUT High japan.xilinx.com XAPP485 (v1.1)

11 R First edge found at value 59 (decimal) Second edge found at value 119 (decimal) DCM decrements to 90 (decimal) = (59+119)/2 X485_12_ : xapp485.zip n 1 n 2 n 3 BCD FPGA FPGA (BCD 50 ) PicoBlaze Spartan-3E FPGA 1:7 666Mbps ( 2 ) 2 : VQ TQ PQ CP FT FG Mbps 622Mbps Mbps 666Mbps XAPP485 (v1.1) japan.xilinx.com 11

12 R 2006/04/ /11/ japan.xilinx.com XAPP485 (v1.1)

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』

ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』 : Spartan-3 FPGA XAPP454 (v1.1.1) 2007 6 11 Spartan-3 FPGA DD2 SDAM : Karthikeyan Palanisamy Spartan -3 DD2 SDAM Micron DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD SDAM DD2 SDAM DD SDAM DD2 SDAM SSTL

More information

Copyright 2003 MapNet.Corp All rights reserved (1) V2.2 (2) (3) (4) (5) (6) 1 (7) (8) (9) 1/2500 1/250 1/10000 1/10000 20 5 1/2500 20 1/500 1/500 1/250 5 1 (1) (2) (3) OK One Point () and or

More information

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD

DS555 : XA2C256 CoolRunner-II オートモーティブ CPLD 0 XA2C256 Coolunner-II CPLD DS555 (v1.1) 2007 5 5 0 0 AEC-Q100 PPAP I Q ( ) T A = -40 C +105 C T J = +125 C (Q ) 1.8V 0.18 CMOS CPLD - ( Coolunner -II ) - 1.5V 3.3V - 100 VQFP (80 ) - 144 TQFP (118 ) -

More information

ザイリンクス XAPP928, LVDS /DVI を使用するデジタル ディスプレイ パネル IP のリファレンス デザイン

ザイリンクス  XAPP928, LVDS /DVI を使用するデジタル ディスプレイ パネル IP のリファレンス デザイン : Spartan-3E FPGA XAPP928 (v1.1) 2007 4 19 LVDS/DVI IP Spartan-3E HW-SPA3E-DISP-DK-UNI-G (v1.1) LCD TV IP Spartan -3E FPGA 1 DVI (CTC) (PGC) (IDE) (LVDS TX) DVI TX Spartan-3E DIP IP DVI Interface Color

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

ハピタス のコピー.pages

ハピタス のコピー.pages Copyright (C) All Rights Reserved. 10 12,500 () ( ) ()() 1 : 2 : 3 : 2 4 : 5 : Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All

More information

Copyright 2008 All Rights Reserved 2

Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 1 Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 3 Copyright 2008 All Rights Reserved 4 Copyright 2008 All Rights Reserved 5 Copyright 2008 All

More information

c c & % c 0 c N r l % *# 5 c c% c c % c c c % % c % % % c j % % % % % % % % &% &% % a % a &% c % cc % & s& cc c c & c & % c & c c & % % %c c %c & c % & % %c c %c & c % % % % % c c c a 28 % c% 13 5 6

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

1000 Copyright(C)2009 All Rights Reserved - 2 -

1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 1 - 1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 3 - 1000 Copyright(C)2009 All Rights Reserved - 4 - 1000 Copyright(C)2009

More information

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s)

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s) アプリケーションノート : Spartan-6 FPGA XAPP1064 (v1.1) 2010 年 6 月 3 日 ソース同期のシリアライズおよびデシリアライズ ( 最大 1050Mb/s) 著者 : Nick Sawyer 概要 Spartan -6 は 入力 SerDes (ISERDES) および出力 SerDes () ブロックを備えています これらのプリミティブによって より高速な動作が実現されると同時に

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

360_h1_4.ai

360_h1_4.ai 2008 EA Digital Illusions CE AB. Mirror's Edge and the DICE logo are trademarks or registered trademarks of EA Digital Illusions CE AB. All Rights Reserved. EA and the EA logo are trademarks or registered

More information

42

42 41 42 43 44 45 46 47 48 9,527 1,012 331 58 84 90 126 84 106 133 1,012 531 87 82 122 132 108 531 2,037 123 236 935 529 104 110 2,037 498 1,359 417 100 106 78 92 66 133 110 167 423 84 1,359 109 98 150 60

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

untitled

untitled http://www.riskdatabank.co.jp The of Japan, Ltd. All rights reserved. 2 The of Japan, Ltd. All rights reserved. 3 The of Japan, Ltd. All rights reserved. 4 The of Japan, Ltd. All rights reserved. 5 The

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

初心者にもできるアメブロカスタマイズ新2016.pages

初心者にもできるアメブロカスタマイズ新2016.pages Copyright All Rights Reserved. 41 Copyright All Rights Reserved. 60 68 70 6 78 80 Copyright All Rights Reserved. FC2 97 Copyright All Rights Reserved. Copyright All Rights Reserved. Copyright All Rights

More information

- 2 Copyright (C) 2006. All Rights Reserved.

- 2 Copyright (C) 2006. All Rights Reserved. - 2 Copyright (C) 2006. All Rights Reserved. 2-3 Copyright (C) 2006. All Rights Reserved. 70-4 Copyright (C) 2006. All Rights Reserved. ...1...3...7...8 1...9...14...16 2...18...20...21 3...22...23...23...24

More information

Xilinx XAPP622 : 644 MHz SDR LVDS トランスミッタ /レシーバ

Xilinx XAPP622 : 644 MHz SDR LVDS トランスミッタ /レシーバ アプリケーションノート : Virtex-II シリーズ 644-MHz SD LVDS トランスミッタ / レシーバ XAPP622 (v1.7) 2004 年 4 月 27 日 概要 このアプリケーションノートでは 1 ペアのクロックと 16 ペアのデータチャネルの合計 17 ペアの低電圧差動信号伝送 (LVDS) を使用した 最大 644 MHz で動作するシングルデータレート (SD) トランスミッタおよびレシーバについて説明します

More information

(c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved.

(c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE,

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

Copyright All Rights Reserved. -2 -!

Copyright All Rights Reserved. -2 -! http://ameblo.jp/admarketing/ Copyright All Rights Reserved. -2 -! Copyright All Rights Reserved. -3- Copyright All Rights Reserved. -4- Copyright All Rights Reserved. -5 - Copyright All Rights Reserved.

More information

Copyright Qetic Inc. All Rights Reserved. 2

Copyright Qetic Inc. All Rights Reserved. 2 Copyright Qetic Inc. All Rights Reserved. 2 Copyright Qetic Inc. All Rights Reserved. 4 35% Copyright Qetic Inc. All Rights Reserved. 9 Copyright Qetic Inc. All Rights Reserved. 11 Copyright Qetic

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

IPA:セキュアなインターネットサーバー構築に関する調査

IPA:セキュアなインターネットサーバー構築に関する調査 Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved.

More information

1 2 3 1 34060120 1,00040 2,000 1 5 10 50 2014B 305,000140 285 5 6 9 1,838 50 922 78 5025 50 10 1 2

1 2 3 1 34060120 1,00040 2,000 1 5 10 50 2014B 305,000140 285 5 6 9 1,838 50 922 78 5025 50 10 1 2 0120-563-506 / 9001800 9001700 123113 0120-860-777 163-8626 6-13-1 Tel.03-6742-3111 http://www.himawari-life.co.jp 1 2 3 1 34060120 1,00040 2,000 1 5 10 50 2014B 305,000140 285 5 6 9 1,838 50 922 78 5025

More information

Microsoft Word - 最終版 バックせどりismマニュアル .docx

Microsoft Word - 最終版 バックせどりismマニュアル .docx ism ISM ISM ISM ISM ISM ISM Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. ISM Copyright (c) 2010 All Rights Reserved. Copyright

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

~ ~.86 ~.02 ~.08 ~.01 ~.01 ~.1 6 ~.1 3 ~.01 ~.ω ~.09 ~.1 7 ~.05 ~.03 ~.01 ~.23 ~.1 6 ~.01 ~.1 2 ~.03 ~.04 ~.01 ~.1 0 ~.1 5 ~.ω ~.02 ~.29 ~.01 ~.01 ~.11 ~.03 ~.02 ~.ω 本 ~.02 ~.1 7 ~.1 4 ~.02 ~.21 ~.I

More information

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド Spartan-6 FPGA クロックリソース [Guide ユーザー Subtitle] ガイド [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the

More information

MAX1213N EV.J

MAX1213N EV.J 19-0610; Rev 0; 7/06 DESIGNATION QTY DESCRIPTION C1 C9, C13, C15, C16, C18, C19, C20, C35 C39, C49, C52 22 C10, C27, C28, C40 4 C11, C30 2 C12, C17, C58 C71 0 C14, C33 2 C21 C24 4 C25, C26, C51, C53, C54,

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

XAPP594 パラレル LVDS 高速 DAC インターフェイス アプリケーション ノート

XAPP594 パラレル LVDS 高速 DAC インターフェイス アプリケーション ノート アプリケーションノート : 7 シリーズ FPGA XAPP594 (v1.0) 2012 年 8 月 22 日 パラレル LVDS 高速 DAC インターフェイス著者 : Marc Defossez 概要 このアプリケーションノートでは ザイリンクス 7 シリーズ FPGA が備える専用の SelectIO インターフェイスシリアライザー () コンポーネントを使用し シリアル LVDS ( 低電圧差動信号

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

2 3

2 3 Sample 2 3 4 5 6 7 8 9 3 18 24 32 34 40 45 55 63 70 77 82 96 118 121 123 131 143 149 158 167 173 187 192 204 217 224 231 17 285 290 292 1 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38

More information

untitled

untitled mitsuya Copyright (C) 2007. All Rights Reserved. 1/1 mitsuya Copyright (C) 2007. All Rights Reserved. 2/2 mitsuya Copyright (C) 2007. All Rights Reserved. 3/3 mitsuya Copyright (C) 2007. All Rights Reserved.

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

16soukatsu_p1_40.ai

16soukatsu_p1_40.ai 2 2016 DATA. 01 3 DATA. 02 4 DATA. 03 5 DATA. 04 6 DATA. 05 7 DATA. 06 8 DATA. 07 9 DATA. 08 DATA. 09 DATA. 10 DATA. 11 DATA. 12 DATA. 13 DATA. 14 10 11 12 13 COLUMN 1416 17 18 19 DATA. 15 20 DATA. 16

More information

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp 2. SIV53002-3.0 Stratix IV GX 2 3 2 7 2 9 2 10 2 11 2 13 2 1 2009 3 Altera Corporation Stratix IV Device Handbook Volume 3 2 2 2 2 1. Architecture Device Speciication Transceiver Coniguration Select Options

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

I 4 1 4 1...................................... 5 2........................................... 5 3.............................................. 6 2 6 1.............................................. 7

More information

02_巻頭特集.indd

02_巻頭特集.indd J apanese text Copyright - Sekai Bunka Publishing Inc. All rights reserved. Reproduction in whole or in part without permission is prohibited. Spring / Summer 2014 Vol. 33 1 Spring / Summer 2014 Vol. 33

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

Xilinx UG190 Virtex-5 FPGA ユーザー ガイド

Xilinx UG190 Virtex-5 FPGA ユーザー ガイド Virtex-5 FPGA ユーザーガイド 本資料は英語版 (v4.5) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely

More information

untitled

untitled 1 All Rights Reserved Copyright 2007 FUJITSU LIMITED 2 All Rights Reserved Copyright 2007 FUJITSU LIMITED 3 All Rights Reserved Copyright 2007 FUJITSU LIMITED 4 All Rights Reserved Copyright 2007 FUJITSU

More information

untitled

untitled 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 3_2. 24 25 26 27 28 29 30 31 32 33 CSV 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル

DL1720/DL1740ディジタルオシロスコープユーザーズマニュアル DL1720/DL1740 IM 701710-01 http://www.yokogawa.co.jp/measurement/ 0120-137046 tmi-cs@csv.yokogawa.co.jp FAX 0422-52-6624 Disk No. DL30 2nd Edition : July 2001 (YK) All Rights Reserved, Copyright 2001 Yokogawa

More information

1 2 3 4 5 6 7 1 2 8 1 2 3 4 9 1 1 1 10 1 2 3 11 1 2 3 12 4 5 6 7 13 14 15 4 13 57 16 17 18 19 13 5 7 8 6 4 20 1 2 3 4 5 6 21 22 1 2 3 4 5 6 23 24 1 2 5 3 6 7 4 25 26 27 28 29 30 1 23 4 57 31 32 33 34 35

More information

102

102 5 102 5 103 q w 104 e r t y 5 u 105 q w e r t y u i 106 o!0 io!1 io q w e r t y 5 u 107 i o 108 q w e q w e r 5 109 q w 110 e r t 5 y 111 q w e r t y u 112 i q w e r 5 113 q w e 114 r t 5 115 q w e 116

More information

Microsoft Word - ランチョンプレゼンテーション詳細.doc

Microsoft Word - ランチョンプレゼンテーション詳細.doc PS1-1-1 PS1-1-2 PS1-1-3 PS1-1-4 PS1-1-5 PS1-1-6 PS1-1-7 PS1-1-8 PS1-1-9 1 25 12:4514:18 25 12:4513:15 B PS1-1-10 PS1-2-1 PS1-2-2 PS1-2-3 PS1-2-4 PS1-2-5 PS1-2-6 25 13:1513:36 B PS1-2-7 PS1-3-1 PS1-3-2

More information

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化 LM5039 Literature Number: JAJA419 POWER designer Expert tips, tricks, and techniques for powerful designs No. 128 national.com/powerdesigner ACL By Ajay Hari, Senior Applications Engineer and Robert Oppen,

More information

消火まえがき.qxd

消火まえがき.qxd 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 1100 1200 1300 1400 1500 1600 1700 1800 1900 2000 2100 1032MHz 1489MHz 1895MHz 2150MHz 142 143 144 145 146 147

More information

untitled

untitled 2005 2 1 105-0004 5-34-3 Tel: 03-3431-4002 Fax: 03-3431-4044 1 SRL/ISTEC 1 1 SFQ SFQ SFQ 2004 9 4 SFQ SFQ / LSI 269 230 230 230 269 230 SFQ SFQ 2005 2 ISTEC 2005 All rights reserved. - 1 - 2005 2 1 105-0004

More information

健康保険組合のあゆみ_top

健康保険組合のあゆみ_top (1912) (1951) 2,00024,000 (1954) (1958) (1962) (1965) (1968) (1969) (1971) (1972) (1973) (1974) (1976) (1978) (1980) (1982) (1983) (1984) (1985) (1987) (1988) (1989) (1990) (1991) (1992) (1994) (1995)

More information

1

1 Wireless LAN Access Point LD-WLS54AG/AP P1 P13 P17 P28 P53 P79 1 2 3 a a a a a a a a 4 5 7 2 6 1 POWER IN DATA IN P+DATA OUT Wireless LAN Access Point LD-WLS54AG/AP P1 P13 P17 P28 P53 P79 9 8 3 11 10 a

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879)

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879) アプリケーションノート : Spartan-6 ファミリ XAPP7 (v1.1) 11 年 1 月 6 日 PLL ダイナミックリコンフィギュレーション著者 : Karl Kurbjun Carl Ribbing 概要 このアプリケーションノートは ダイナミックリコンフィギュレーションポート (DRP) を介して Spartan - 6 FPGA の位相ロックループ (PLL) のクロック出力の周波数

More information

untitled

untitled Excel Word Excel - 12 - 1,2,3,4,5,6 12 3 1,2,3 ( ) - 13 - 1 2 3 1 1 1 [][] Excel - 14 - 1.025 1.025 100-15 - 2005/01/01 38353 Excel 1900 1 1 1 100% 0.550% - 16 - - 17 - I W W K - 18 - M MOK M 90 90-19

More information

Nallatech»»» »»»»»» IBM High Performance Computing 2

Nallatech»»» »»»»»» IBM High Performance Computing 2 Virtex-4 DSP HPC Nallatech High Performance Computing 2007.01.10 1 Commercial In Confidence. Copyright 2004, Nallatech. Nallatech»»» 2500 70»»»»»» IBM High Performance Computing 2 Glasgow Bristol Taiwan

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Microsoft Word - .....J.^...O.|Word.i10...j.doc

Microsoft Word - .....J.^...O.|Word.i10...j.doc P 1. 2. R H C H, etc. R' n R' R C R'' R R H R R' R C C R R C R' R C R' R C C R 1-1 1-2 3. 1-3 1-4 4. 5. 1-5 5. 1-6 6. 10 1-7 7. 1-8 8. 2-1 2-2 2-3 9. 2-4 2-5 2-6 2-7 10. 2-8 10. 2-9 10. 2-10 10. 11. C

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

untitled

untitled Copyright(C) 2007 IFNet, Inc. All Rights Reserved. 2 3 4 Step 1 tep 2 Step 3 Step 1 Step 2 Step 3 5 ID 6 7 Copyright(C) 2007 IFNet, Inc. All Rights Reserved. 8 9 10 11 Copyright(C) 2007 IFNet, Inc. All

More information

SEISMIC OBSERVER'S REPORT FORM-A JGI,IN PROSPECT AREA CLIENT CREW NO.OBSERVER OBSERVER DATE: LINE S04-2 Ise Heiya2004 Yokkaichi MIE CityPREF. A JGI 20

SEISMIC OBSERVER'S REPORT FORM-A JGI,IN PROSPECT AREA CLIENT CREW NO.OBSERVER OBSERVER DATE: LINE S04-2 Ise Heiya2004 Yokkaichi MIE CityPREF. A JGI 20 SEISMIC OBSERVER'S REPORT FORM-A JGI,IN PROSPECT AREA CLIENT CREW NO.OBSERVER OBSERVER DATE: LINE S04-1 Tu City,Kawage City Ise Heiya2004 MIE PREF.A JGI 2004 /8/5~2004 /8/11 Suzuka City GENE AUX.CH.CO

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information