Synthesiology(シンセシオロジー) - 構成学

Size: px
Start display at page:

Download "Synthesiology(シンセシオロジー) - 構成学"

Transcription

1

2 Synthesiology 第 9 巻 第 1 号 (2016.2) 論 文 のポイント 本 誌 は 成 果 を 社 会 に 活 かそうとする 研 究 活 動 の 目 標 と 社 会 的 価 値 具 体 的 なシナリオや 研 究 手 順 また 要 素 技 術 の 構 成 統 合 のプロセスを 記 述 した 論 文 誌 です 本 号 論 文 の 価 値 が 一 目 で 判 るように 編 集 委 員 会 が 作 成 したシンセシ オロジー 論 文 としてのポイントを 示 します シンセシオロジー 編 集 委 員 会 3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み 基 盤 技 術 から 実 用 技 術 へどのようにしてステップアップするのか?- 集 積 回 路 の 高 集 積 化 が 素 子 の 微 細 化 により 進 んできた 中 で 3 次 元 化 はその 技 術 的 困 難 さもあり 研 究 開 発 の 主 題 とは 言 えな かった しかし 微 細 化 限 界 が 認 識 されるようになった 現 在 改 めて 3 次 元 積 層 技 術 が 注 目 されている 青 柳 らは 3 次 元 IC チッ プ 積 層 技 術 開 発 のためのシナリオを 描 き そのシナリオに 沿 って 目 標 を 実 現 する 要 素 技 術 (TSV 円 錐 バンプ)とその 統 合 設 計 技 術 等 の 成 果 を 述 べている 限 られた 研 究 リソースを 効 果 的 に 利 用 する 戦 略 の 具 体 的 取 り 組 みについて 課 題 の 優 先 順 位 と 研 究 リソースの 確 保 状 況 について 時 系 列 で 分 かるように 記 されている レアメタル 資 源 の 安 定 供 給 を 目 指 して レアアース 資 源 確 保 のための 取 り 組 みと 課 題 新 興 工 業 国 の 経 済 発 展 による 金 属 資 源 価 格 の 高 騰 や 中 国 のレアアース 輸 出 制 限 による 危 機 を 受 け レアメタル 資 源 の 安 定 供 給 を 国 として 推 進 するた め の 地 質 情 報 整 備 に つ いて 高 木 ら 鉱 物 資 源 研 究 グル ープ は 有 望 鉱 床 の 確 認 選 鉱 試 験 の 拠 点 整 備 デ ー タベースの 構 築 海 外 地 質 調 査 機 関 との 協 力 関 係 の 構 築 など 期 待 に 応 えてきた 資 源 開 発 へつながるための 産 総 研 の 研 究 開 発 資 源 国 との 連 携 開 発 期 間 との 共 同 研 究 体 制 等 実 例 を 交 えて 記 載 されており レアアース 資 源 研 究 の 体 系 を 俯 瞰 する 論 文 とし てまとめられている 構 成 型 研 究 におけるシナリオ:その 役 割 と 表 現 シンセシオロジー 誌 の 掲 載 論 文 による 検 証 の 試 み 基 礎 研 究 の 成 果 を 出 発 点 とするイノベーションは 現 代 における 研 究 開 発 の 主 流 であり それは 社 会 の 諸 問 題 を 解 決 して 持 続 的 繁 栄 をもたらすものとされる そのための 研 究 資 金 が 投 入 され また 研 究 者 の 努 力 が 払 われて 多 くの 成 果 が 得 られているが そ の 中 で 一 つの 問 題 点 が 浮 上 してきた それは 基 礎 研 究 から 社 会 的 問 題 解 決 に 有 効 な 成 果 に 至 る 過 程 が 不 明 という 問 題 である その 結 果 その 過 程 に 従 事 する 研 究 者 は あらゆる 可 能 性 を 探 りながら ある 時 は 直 観 で ある 時 は 網 羅 的 思 考 で いずれも 試 行 錯 誤 によって 設 定 した 解 へと 近 づいてゆく 方 法 で 研 究 する これはまだ 明 示 されていないが 独 自 の 研 究 方 法 論 であって 第 二 種 基 礎 研 究 と 呼 び その 成 果 が 本 誌 シンセシオロジーの 論 文 である 本 誌 の 目 的 はその 成 果 の 発 表 と 同 時 に この 研 究 方 法 を 明 らかにすることを 目 的 としている 本 論 文 は 発 刊 後 8 年 を 経 て 100 編 を 超 す 論 文 を 対 象 に 多 様 な 研 究 の 背 後 に 存 在 しながらその 姿 をまだ 見 せていない 共 通 の 方 法 を 抽 出 することを 研 究 した 論 文 である 構 成 的 研 究 である 第 二 種 基 礎 研 究 を 特 徴 づけるものとして 各 論 文 に 現 れ 研 究 の 中 核 をなすものと 考 えられるシナリオに 注 目 し それが 持 つ 論 理 的 特 徴 が 共 通 であることを 示 し 構 成 型 研 究 の 特 徴 を 構 造 的 に 示 し ている しかもシナリオは 言 語 表 現 図 解 によって 明 示 的 に 表 現 可 能 であることを 示 して 研 究 の 論 理 を 抽 出 する 研 究 が 実 証 可 能 性 を 持 ちうることを 明 らかにしたと 言 うことができ 貴 重 な 論 文 である 本 論 文 は 今 後 の 第 二 種 基 礎 研 究 にとって 有 益 であると 同 時 に 方 法 論 抽 出 研 究 の 方 向 にも 有 効 な 示 唆 を 与 えている 太 陽 電 池 モジュールの 信 頼 性 向 上 と 試 験 法 開 発 に 関 するコンソーシアム 研 究 高 信 頼 性 太 陽 電 池 モジュール 開 発 評 価 コンソーシアム の 運 営 太 陽 電 池 モジュールについてのコンソーシアムを 設 立 運 営 するに 際 して 当 時 の 状 況 判 断 として 学 界 業 界 においても 信 頼 性 評 価 技 術 が 手 薄 な 事 を 考 慮 して 太 陽 電 池 モジュールの 試 作 ラインを 整 備 し 科 学 的 知 見 に 基 づく 信 頼 性 の 評 価 技 術 を 確 立 することを 目 指 した 多 数 の 企 業 が 共 通 基 盤 技 術 の 開 発 として 協 調 できる 場 となるため コンソーシアムでの 課 題 設 定 や 運 営 方 針 の 決 定 の 際 に 参 加 企 業 と 十 分 議 論 し 理 解 を 得 るプロセスをまとめた 具 体 的 論 説 である コアとなる 信 頼 性 評 価 技 術 に 関 し ては ノウハウや 経 験 則 に 替 わる 科 学 的 根 拠 に 裏 付 けられた 研 究 により 加 速 試 験 方 法 を 確 立 した 点 などは 高 く 評 価 される この 成 功 事 例 は 他 の 分 野 への 波 及 効 果 が 高 いと 言 える 電 子 ジャーナルのURL 産 総 研 HP J-Stage i

3 Synthesiology 第 9 巻 第 1 号 (2016.2) 目 次 論 文 のポイント 研 究 論 文 3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み 基 盤 技 術 から 実 用 技 術 へどのようにしてステップアップ するのか? 青 柳 昌 宏 居 村 史 人 加 藤 史 樹 菊 地 克 弥 渡 辺 直 也 鈴 木 基 史 仲 川 博 岡 田 義 邦 横 島 時 彦 山 地 泰 弘 根 本 俊 介 Bui Thanh TUNG Melamed SAMSON i 1-14 レアメタル 資 源 の 安 定 供 給 を 目 指 して レアアース 資 源 確 保 のための 取 り 組 みと 課 題 高 木 哲 一 構 成 型 研 究 におけるシナリオ:その 役 割 と 表 現 シンセシオロジー 誌 掲 載 論 文 による 検 証 の 試 み 小 野 晃 赤 松 幹 之 小 林 直 人 論 説 太 陽 電 池 モジュールの 信 頼 性 向 上 と 試 験 法 開 発 に 関 するコンソーシアム 研 究 高 信 頼 性 太 陽 電 池 モ ジュール 開 発 評 価 コンソーシアム の 運 営 増 田 淳 井 川 奈 々 子 編 集 委 員 会 より 編 集 方 針 投 稿 規 定 編 集 後 記 Contents in English Research papers (Abstracts) Developing an application for 3D IC chip stacking technology - How to shift from fundamental to practical technology? M. AOYAGI, F. IMURA, F. KATO, K. KIKUCHI, N. WATANABE, M. SUZUKI, H. NAKAGAWA, Y. OKADA, T. YOKOSHIMA, Y. YAMAJI, S. NEMOTO, B. T. TUNG and M. SAMSON Securing a stable supply of critical raw metals resources - - Efforts and issues for the securement of rare-earth T. TAKAGI 15 Scenario in synthetic-type research: its role and description - An investigation from Synthesiology papers A. ONO, M. AKAMATSU and N. KOBAYASHI Article (Abstract) Consortium style study on the development of highly reliable photovoltaic modules and acceleration test methods - Management of the Consortium Study on Fabrication and Characterization of Solar Cell Modules with Long Life and High Reliability A. MASUDA and N. IGAWA Editorial policy Instructions for authors ⅱ

4 シンセシオロジー 研 究 論 文 3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み 基 盤 技 術 から 実 用 技 術 へどのようにしてステップアップするのか? 青 柳 昌 宏 1* 居 村 史 人 1 加 藤 史 樹 1 菊 地 克 弥 1 渡 辺 直 也 1 鈴 木 基 史 1 仲 川 博 1 岡 田 義 邦 1 横 島 時 彦 2 山 地 泰 弘 1 根 本 俊 介 1 Bui Thanh TUNG 1 Melamed SAMSON 1 ICデバイスを 縦 方 向 に 積 層 して 実 装 集 積 する3 次 元 IC 積 層 実 装 技 術 は 半 導 体 デバイス MEMSデバイス パワーデバイス 等 の 集 積 技 術 として 従 来 の 基 板 面 内 での2 次 元 的 な 集 積 化 に 加 えて 基 板 を 積 層 して3 次 元 的 に 集 積 化 できるため 近 年 期 待 が 高 まってい る この 論 文 では 半 導 体 デバイスの3 次 元 IC 積 層 実 装 に 求 められる 高 密 度 高 集 積 の 電 子 ハードウエア 構 築 基 盤 技 術 を 確 立 させると ともに 企 業 と 連 携 して 量 産 化 技 術 への 開 発 支 援 も 行 いながら 実 用 化 に 向 けた 応 用 システム 開 発 の 流 れを 作 り 出 すために 実 施 した 初 期 の 応 用 フェーズの 研 究 開 発 について 報 告 する キーワード: 半 導 体 デバイス IC 3 次 元 積 層 実 装 TSV Developing an application for 3D IC chip stacking technology - How to shift from fundamental to practical technology? - Masahiro AOYAGI 1 *, Fumito IMURA 1, Fumiki KATO 1, Katsuya KIKUCHI 1, Naoya WATANABE 1, Motohiro SUZUKI 1, Hiroshi NAKAGAWA 1, Yoshikuni OKADA 1, Tokihiko YOKOSHIMA 2, Yasuhiro YAMAJI 1, Shunsuke NEMOTO 1, Bui Thanh TUNG 1 and Melamed SAMSON 1 3D IC chip stacking technology is expected to be the future of electronic device integration technology, because integration along the additional dimension affords efficient use of space and improvement of system architecture. We developed fundamental technology of high density integration for 3D IC chip stacking. To facilitate applications of this technology, a mass-production process was developed in collaboration with a production system company. Keywords:Semiconductor device, IC, 3D stacking, packaging, TSV 1 はじめに 半 導 体 集 積 デバイスを 中 核 コア 部 品 として 発 展 してきた 電 子 機 器 は 小 型 モジュール 化 高 密 度 集 積 化 低 消 費 電 力 化 等 の 性 能 アップのためのたゆまない 技 術 開 発 によ り 産 業 機 器 から 民 生 家 電 機 器 さらには 個 人 向 け 携 帯 電 子 機 器 へと 事 業 化 が 展 開 され 事 業 所 単 位 から 世 帯 単 位 さらには 個 人 単 位 へと 普 及 度 を 高 めて 全 世 界 レベルで 大 幅 な 製 品 個 数 の 増 加 を 達 成 してきている 2014 年 末 には 全 世 界 で 携 帯 電 話 契 約 台 数 と 人 口 数 が 一 致 する 100 % 普 及 率 が 達 成 されている [1] これまでの 半 導 体 集 積 デバイス 開 発 の 歴 史 において 特 筆 すべきイノベーションは N チャンネル MOS トランジス タおよび P チャンネル MOS トランジスタを 一 対 にした 相 補 型 MOS(CMOS)トランジスタ 素 子 構 造 の 採 用 によって 他 の 素 子 構 造 に 比 べて 広 い 動 作 可 能 領 域 が 確 保 できるた め 素 子 特 性 の 大 きな 製 造 ばらつきを 許 容 できるようになっ たことである これにより 現 在 では 10 億 個 を 越 えるト ランジスタを 集 積 した 集 積 回 路 (IC)が 実 現 できるように なっている [2] 一 方 半 導 体 IC 技 術 については 微 細 加 工 の 限 界 製 造 コストの 上 昇 等 さまざまな 制 限 要 因 が 明 らかになり 今 後 の 集 積 度 向 上 に 陰 りが 見 え 始 めている その 解 決 策 1 産 業 技 術 総 合 研 究 所 ナノエレクトロニクス 研 究 部 門 つくば 市 梅 園 中 央 第 2 2 早 稲 田 大 学 理 工 学 術 院 新 宿 区 早 稲 田 鶴 巻 町 513 スマートエナジーシステム イノベーションセンター 120 号 館 405 室 1. Nanoelectronics Research Institute, AIST Tsukuba Central 2, Umezono, Tsukuba , Japan * , 2. Faculty of Science and Engeneering, Waseda University Smart Energy System Inovation Center, 513 Wasedatsurumaki, Shinjuku-ku , Japan Original manuscript received October 26, 2014, Revisions received July 29, 2015, Accepted July 30, 2015 Synthesiology Vol.9 No.1 pp.1-14(feb. 2016) 1

5 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) の 一 つである IC デバイスを 縦 方 向 に 積 層 して 実 装 集 積 す る 3 次 元 IC 積 層 実 装 技 術 は 半 導 体 IC 技 術 の 集 積 度 向 上 を 別 次 元 で 可 能 とする 半 導 体 デバイス 集 積 技 術 とし て 近 年 期 待 が 高 まっている そこで 我 々は 3 次 元 IC 積 層 実 装 に 求 められる 高 密 度 高 集 積 の 電 子 ハードウエ ア 構 築 基 盤 技 術 を 確 立 させるとともに 本 来 は 企 業 が 進 め るべき 量 産 化 技 術 の 開 発 支 援 も 行 いながら 実 用 化 に 向 けた 応 用 システム 開 発 の 流 れを 作 り 出 すため 応 用 フェー ズの 研 究 開 発 にも 取 り 組 んでいる 2 3 次 元 IC 積 層 実 装 による 電 子 ハードウエアシステム 集 積 技 術 の 高 度 化 とこの 研 究 の 狙 い まず システム 性 能 の 向 上 を 目 指 した 高 密 度 高 集 積 化 の 要 求 に 対 応 して 製 造 技 術 を 高 度 化 させてきた 電 子 ハード ウエアシステム 集 積 技 術 について 最 近 の 開 発 動 向 を 振 り 返 ってみる 半 導 体 IC の 平 面 集 積 技 術 と 異 なる 縦 方 向 で 集 積 が 可 能 となる 半 導 体 IC パッケージ 内 に 複 数 のICチッ プを 積 層 化 して 一 つのシステムに 収 めるシステム イン パッ 用 語 ケージ SIP(System in Package) 1 と 呼 ばれるシステム 集 積 手 法 が 注 目 されている [3] この SIP 手 法 については 携 帯 電 子 機 器 の 小 型 化 高 速 化 低 消 費 電 力 化 に 向 けた 実 用 レベルの 研 究 開 発 が 積 極 的 に 進 められ 実 際 の 製 品 への 導 入 も 進 んできている SIP は More than Moore と 呼 ばれるデバイス 寸 法 の 定 率 縮 小 を 表 す Moore 則 と 次 元 の 異 なる 縦 方 向 での 集 積 を 実 現 する 技 術 であり IC チッ プ 上 にシステムを 収 めるシステム オン チップ SOC(System 用 語 on Chip) 2 と 呼 ばれるシステム 集 積 手 法 と 相 補 的 関 係 に 位 置 づけられるものと 考 えられる しかし 積 層 化 した IC チップ 間 の 接 続 は ボンディングワイヤ パッケージ 内 配 線 等 比 較 的 長 い 配 線 となるため 高 速 動 作 に 不 利 な 構 造 と なっている 一 方 IC チップの 基 板 内 に 表 から 裏 に 貫 通 用 語 する 電 極 (Through-Si-Via: TSV 3 )と 接 続 用 微 細 バン プを 形 成 することにより 3 次 元 的 に 複 数 の IC チップ 同 士 を 直 接 積 層 する 技 術 を 使 った 3 次 元 IC チップ 積 層 実 装 技 術 は 電 子 ハードウエアの 超 小 型 高 密 度 化 高 速 大 容 量 化 低 消 費 電 力 化 等 の 多 様 な 高 性 能 化 を 達 成 する 技 術 として 期 待 されている [4]-[6] なお 3 次 元 IC チップ 積 層 では SOC 手 法 で 実 現 が 困 難 な 異 なる 基 板 材 料 プロセスによ る IC デバイスの 高 密 度 集 積 にも 対 応 できる 点 に 注 目 すべき である また ハードウエアにおける 配 線 空 間 が 2 次 元 か ら 3 次 元 に 拡 張 されることにより 回 路 システムの 構 成 方 法 に 大 きな 変 革 をもたらす 可 能 性 にも 注 目 すべきである 高 密 度 高 集 積 の 電 子 ハードウエア 構 築 基 盤 技 術 として の 3 次 元 IC 積 層 実 装 技 術 をシステムレベルで 応 用 して 具 体 的 な 高 性 能 電 子 機 器 として 具 現 化 するためには 3 次 元 IC 積 層 実 装 システムのプロトタイプ 開 発 を 進 める 必 要 があ る 3 次 元 IC 積 層 のメリットを 十 二 分 に 生 かした 新 しい 革 新 的 回 路 システム 技 術 を 開 拓 することによって 次 世 代 の ハードウエアシステム 集 積 技 術 を 社 会 に 送 り 出 すことが 可 能 になる 3 次 元 IC 積 層 実 装 システムの 実 現 に 求 められる 研 究 開 発 項 目 は 非 常 に 多 種 類 の 技 術 分 野 に 関 連 して 多 岐 に 渡 っ ているため 産 総 研 の 限 られた 研 究 リソースの 中 では と ても 全 部 をカバーできる 状 況 にはない そこで 我 々は 企 業 の 取 り 組 みが 遅 れており かつ 重 要 度 緊 急 度 の 高 い 技 術 項 目 を 優 先 的 に 進 めるシナリオに 沿 って これまで 15 年 に 渡 って 基 盤 技 術 の 研 究 開 発 を 進 めてきた 図 1 に 電 子 ハードウエアシステム 集 積 技 術 について こ れまでの 進 展 を 示 す まず 性 能 の 高 いシステムを 達 成 で きる SOC 技 術 については システムを 構 成 する 多 くの 回 システムオンチプ SOC 技 術 LSI チップ IP ブロック パッケージ システムインパッ ケージ SIP 技 術 IP チップ 3 次 元 IC 積 層 システム 技 術 IP チップ Si 貫 通 ビア TSV IP ブロック 間 を チップ 上 配 線 で 接 続 LSI 内 部 の 低 レベ ル 信 号 で 接 続 I/O バッファ ESD 回 路 IP ブロック 間 を パッケージ 内 配 線 で 接 続 LSI 外 部 の 高 レベ ル 信 号 で 接 続 IP ブロック 間 を Si 貫 通 ビアで 接 続 LSI 内 部 と 等 価 の 低 レベル 信 号 で 接 続 性 能 向 上 消 費 電 力 低 下 設 計 製 造 コストの 増 大 設 計 工 数 製 造 コストの 削 減 性 能 低 下 消 費 電 力 増 大 性 能 向 上 消 費 電 力 低 下 設 計 工 数 製 造 コストの 削 減 図 1 電 子 ハードウエアシステム 集 積 技 術 の 進 展 2

6 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) 路 ブロックを 一 つの 超 大 規 模 IC チップ 内 に 集 積 する 技 術 であり 通 常 の 大 規 模 IC 開 発 製 造 に 比 べて 開 発 製 造 に 時 間 がかかり 大 幅 にコスト 増 となる 汎 用 性 を 高 め た 回 路 設 計 により 多 くのシステム 製 品 に 組 み 込 まれ 数 百 万 個 以 上 の 大 規 模 生 産 が 見 込 める I C チップ( 高 機 能 汎 用 語 用 CPU 4 汎 用 画 像 処 理 プロセッサ 等 )でないと 事 業 化 が 困 難 である 次 に 複 数 の IC チップを 小 型 に 集 積 できる SIP 技 術 については システムを 構 成 する 回 路 ブロッ クに 対 応 した 複 数 の IC チップを 一 つのパッケージ 内 に 集 積 する 技 術 であり 要 求 性 能 がそれほど 高 くない 応 用 分 野 に ついて 開 発 製 造 のコストを 大 幅 削 減 できるので 幅 広 く 適 用 されている さらに 究 極 の SIP 技 術 とも 言 えるTSV を 用 いた 3 次 元 IC チップ 積 層 実 装 技 術 については SOC に 匹 敵 する 性 能 さら に 3 次 元 配 線 を 活 用 してシステムアー キテクチャーを 工 夫 することで SOC を 凌 駕 する 性 能 が 実 現 できる 技 術 であり 開 発 製 造 のコストについても SOC より 大 幅 に 低 減 できる 可 能 性 がある [7] 次 世 代 ノードで 予 想 される 微 細 化 コストの 急 激 な 増 大 に 対 して 3 次 元 積 層 化 によりトータルコストを 抑 えて 集 積 度 の 向 上 を 図 ることが 期 待 されている 3 次 元 IC チップ 積 層 技 術 について デジタルシステム 応 用 上 のメリットとしては マルチコアのような 機 能 回 路 ブロッ クの 多 重 化 による 並 列 処 理 アーキテクチャーの 採 用 でシス テム 演 算 処 理 能 力 の 向 上 が 期 待 できる [8] このためには 演 算 ブロック 間 のデータ 通 信 能 力 を 大 幅 に 高 めることが 重 要 な 鍵 とな る こ の 観 点 か ら I C チ ップ 積 層 技 術 に つ い て 用 語 さまざまな 演 算 処 理 等 を 実 行 する 演 算 IP 5 ブロックを 作 り 込 んだチップ(IP チップと 称 する) 間 の 信 号 伝 送 方 式 に より 分 類 してみると 図 2 のようになる 薄 型 IC パッケージ を 3 次 元 積 層 したチップ 積 層 方 式 は 低 コスト 重 視 の 汎 用 小 型 システムの 構 築 に 向 いており 容 量 誘 導 電 磁 波 結 合 を 利 用 したワイヤレス 接 続 によるチップ 積 層 方 式 は 高 速 伝 送 性 能 と 高 い 物 理 的 接 続 信 頼 性 が 必 要 なロバストシス テムの 構 築 に 向 いていると 考 えられる また IC チップの 基 板 内 に 貫 通 する TSV によるチップ 積 層 方 式 は 多 数 の TSV を 用 いた 高 性 能 システムの 構 築 に 向 いており 光 電 子 用 語 6 複 合 実 装 技 術 シリコンフォトニクス 技 術 等 を 用 いた 光 導 波 路 接 続 によるチップ 積 層 方 式 は 最 高 性 能 が 要 求 される 基 幹 系 ネットワーク スーパーコンピューター 等 ハイ エンドシステムの 構 築 に 向 いていると 考 えられる この 研 究 では 最 終 的 なアウトカムとして 3 次 元 IC 積 層 実 装 技 術 を 適 用 した 実 用 ハードウエアシステムを 普 及 さ せていくのに 不 可 欠 な 設 計 試 作 評 価 の 研 究 開 発 環 境 を 整 備 するとともに 3 次 元 IC 積 層 実 装 の 特 長 を 生 かす システム 応 用 例 のプロトタイプ 実 証 を 進 めていくことを 目 標 とする 3 3 次 元 IC 積 層 実 装 に 関 する 製 造 プロセスと 統 合 設 計 環 境 の 整 備 次 元 IC 積 層 実 装 の 製 造 プロセス 要 素 技 術 企 業 の 研 究 開 発 技 術 者 は 半 導 体 デバイスの 集 積 度 向 上 の 新 たな 手 段 として 注 目 しているため 研 究 開 発 の 段 階 でも 量 産 プロセスへの 展 開 を 想 定 して ウエハレベルの 3 次 元 IC 積 層 実 装 プロセスの 開 発 に 取 り 組 むのが 一 般 的 で ある [9]-[15] しかし 産 総 研 では あえて 回 り 道 に 見 えても 高 効 率 のプロトタイプ 試 作 環 境 の 構 築 を 目 指 して 低 価 格 のプロセス 装 置 を 利 用 して 高 い 歩 留 まりと 短 い 製 造 期 間 で 物 づくりが 可 能 なチップレベル 3 次 元 IC 積 層 実 装 プロ セスに 着 目 して 研 究 開 発 を 進 めてきている 特 に チップ レベル 3 次 元 IC 積 層 実 装 プロセスは 産 総 研 から 提 唱 さ IP チップ IP チップ IP チップ IP チップ PKG 間 接 続 電 界 磁 気 電 磁 気 貫 通 電 極 微 細 接 合 光 IP パッケージ 間 のは んだ 接 続 方 式 により Z 方 向 電 気 接 続 IP チップ 間 を 容 量 磁 気 電 磁 波 によるワイヤレス 方 式 で Z 方 向 電 気 接 続 IP チップ 間 を 基 板 内 Si 貫 通 電 極 微 細 接 合 方 式 で Z 方 向 電 気 接 続 IP チップ 間 を 光 電 子 融 合 方 式 で Z 方 向 光 接 続 接 続 数 : 接 続 数 : 接 続 数 : 接 続 数 : ~100 Gbps 信 号 伝 送 1~100 Gbps 信 号 伝 送 10 G~1 Tbps 信 号 伝 送 100 G~10 Tbps 信 号 伝 送 低 コストシステム ロバストシステム 性 能 重 視 システム 最 高 性 能 システム 図 2 3 次 元 IC チップ 積 層 システム 技 術 3

7 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) れている 極 小 の 1/2 インチ Si 基 板 を 用 いた 半 導 体 デバイ 用 語 ス 製 造 生 産 システムのミニマルファブ 7 コンセプトと 親 和 性 が 高 く 半 導 体 IC デバイス 製 造 から 積 層 実 装 まで 一 貫 したミニマル 3 次 元 積 層 プロセスラインを 構 築 できる 可 能 性 について 強 調 しておきたい [16] 一 般 的 な3 次 元 IC 積 層 実 装 の 製 造 工 程 は 通 常 の CMOS 半 導 体 製 造 プロセスを 経 た 後 に 表 から 裏 に 向 かって 深 い 埋 め 込 みトレンチ 電 極 を 形 成 し シリコン 基 板 を 裏 から 薄 く 加 工 し トレンチ 電 極 の 底 部 を 露 出 させ 裏 面 に 配 線 を 形 成 した 後 配 線 上 にはんだ 材 料 による 微 細 金 属 バンプを 形 成 して 高 精 度 積 層 技 術 により 積 層 デバイ ス 間 にバンプ 接 合 を 形 成 して 一 体 集 積 化 する 工 程 フロー が 用 いられる その 製 造 工 程 フローの 中 では シリコン 基 板 貫 通 電 極 (TSV)を 形 成 する 工 程 が 難 易 度 コストの 点 から 根 幹 工 程 となっている 具 体 的 には 図 3 に 示 すよう に シリコン 基 板 に 表 面 から 裏 面 にかけて 深 いトレンチ 孔 をボッシュ 法 でエッチング 加 工 し その 孔 の 側 壁 にバリア 層 と 絶 縁 層 を CVD 法 で 形 成 した 後 その 孔 の 中 に 金 属 を めっき 法 で 充 填 させて 表 面 を CMP 等 の 平 坦 化 技 術 で 電 極 を 露 出 させ さらに 裏 面 から 研 削 CMP RIE により 薄 型 加 工 して トレンチ 底 部 の 電 極 を 露 出 させ 独 立 した 貫 通 する 電 極 を 形 成 する 一 連 の 工 程 である 図 4 にこれまで 産 総 研 で 研 究 開 発 を 進 めてきた 3 次 元 IC チップ 積 層 システム 集 積 化 要 素 技 術 (プロセス 評 価 ) の 開 発 項 目 に つ い て 列 挙 して い る 具 体 的 項 目 として チ ッ プ 積 層 プロセス 要 素 技 術 として 側 壁 絶 縁 層 に low-k 有 機 樹 脂 を 用 いた 低 容 量 低 抵 抗 低 内 部 応 力 TSV 構 造 [17] 円 錐 形 状 の 微 細 バンプにより 熱 圧 着 法 で 接 合 した 微 細 ピッ (1) (5) TSV 用 レジストパターン リソグラフィ TSV Cu シード 層 形 成 (2) (6) TSV Si 深 堀 り DRIE (Bosch) TSV Cu 埋 め 込 みめっき 充 填 (3) TSV 絶 縁 層 形 成 (7) ウェハ 表 面 CMP 平 坦 化 (4) TSV バリア 層 形 成 (8) ウェハ 裏 面 BG CMP RIE ブラグ 出 し 図 3 シリコン 基 板 貫 通 電 極 (TSV)の 製 造 プロセスフロー チップ 間 樹 脂 充 填 層 無 電 解 めっきブリッジ 接 続 微 細 バンプ 接 合 信 号 用 シリコン 貫 通 ビア 電 源 用 シリコン 貫 通 ビア 薄 型 CMOS-LSI チップ 電 源 供 給 高 速 信 号 伝 送 電 源 供 給 受 動 部 品 内 蔵 インターポーザ 外 部 インターフェース 図 4 3 次 元 IC チップ 積 層 システム 集 積 化 要 素 技 術 ( 積 層 プロセス 評 価 検 査 ) 4

8 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) チ 高 密 度 超 多 チャンネル 微 細 バンプ 接 続 [18]-[20] チップ 積 層 後 の 電 源 パッド 電 極 間 を 直 接 めっき 法 で 接 続 する 電 源 パッド 間 ブリッジめっき 接 続 [21][22] 薄 膜 コンデンサおよび チップコンデンサを 基 板 内 に 埋 め 込 んだ 受 動 部 品 内 蔵 イン ターポーザ [23][24] 等 評 価 検 査 技 術 として 10 ps 高 速 立 ち 上 がりステップ 信 号 を 用 いた 局 所 微 細 構 造 電 気 特 性 評 価 [25] 20 Gbps デジタル 高 速 信 号 伝 送 評 価 [26] 10 Hz- 40 GHz の 超 広 帯 域 に 対 応 したインピーダンスアナライザに よる 電 源 供 給 配 線 インピーダンス 評 価 [27] メンブレン 微 細 ピッチコンタクトプローブによるチップレベルの 電 気 検 査 が 可 能 な 良 品 チップ 検 査 [28] 積 層 後 に 微 細 接 続 部 の 全 数 電 気 接 続 検 査 が 可 能 なチップ 間 接 続 バウンダリスキャン 検 査 [29] レーザー 照 明 と 高 速 高 精 細 画 像 センサーにより 全 数 形 [30] 状 検 査 が 可 能 な 微 細 円 錐 バンプ 高 速 検 査 等 が 挙 げら れる 低 容 量 低 抵 抗 低 内 部 応 力 TSV 構 造 の 開 発 例 として TSV の 側 壁 絶 遠 層 にパリレン 有 機 樹 脂 層 を 用 いた TSV 構 造 の 開 発 について 紹 介 する [17] 図 5 には 低 温 CVD 法 により TSV 孔 に 均 一 な 膜 厚 で 側 壁 絶 縁 層 を 形 成 可 能 な ことを 特 徴 とするパリレン 側 壁 絶 縁 層 TSV 構 造 の 製 造 プ ロセスフローを 示 す 図 6 には TSV 製 造 プロセスにお けるパリレン 側 壁 絶 縁 層 形 成 後 の 断 面 SEM 写 真 を 示 す SiO 2 SiNx 等 の 無 機 系 絶 縁 材 料 による 側 壁 絶 縁 層 に 比 べ て 被 覆 性 の 高 い 均 一 で 厚 い 膜 形 成 が 可 能 となっている 図 7 には TSV 製 造 プロセスにおけるパリレン 側 壁 絶 縁 層 形 成 および Cu めっき 充 填 後 の 断 面 SEM 写 真 を 示 す 電 解 Cu めっき 法 による TSV 孔 への Cu 金 属 充 填 が 可 能 である Cu と Si の 熱 膨 張 係 数 の 違 いにより 発 生 する 側 壁 絶 縁 層 の 内 部 応 力 については パリレン 膜 の 弾 性 変 形 によ り Si 基 板 内 の 応 力 緩 和 が 期 待 できる 次 に 微 細 ピッチ 高 密 度 超 多 チャンネル 微 細 バンプ 接 続 の 開 発 例 として ナノ 粒 子 堆 積 法 により 形 成 した 微 細 円 錐 形 状 バンプ 接 続 の 開 発 について 紹 介 する [19] 図 8 には 金 ナノ 粒 子 を 真 空 蒸 着 装 置 において He ガス 雰 囲 気 内 で 生 成 して He ガスと 共 に 小 径 ノズルより 吐 出 させて 堆 積 さ せるナノ 粒 子 堆 積 法 を 用 いて 形 成 された 円 錐 形 状 の 微 細 金 バンプ 配 列 の SEM 観 察 写 真 を 示 す 直 径 10 µm 高 さ 12 µm の 形 状 で 配 列 ピッチ 20 µm の (10000) 個 の 微 細 円 錐 バンプ 配 列 である 基 板 上 に 丸 孔 形 状 のフォ トレジストマスクを 形 成 した 後 金 ナノ 粒 子 を 小 径 ノズルよ AZP-4620 厚 み 5 µm 直 径 = 7, 12, 22, 36 µm Si, 100 µm Glass carrier wafer (a) キャリアウエハ 接 着 (b) レジストパターニン 形 成 (c)si 深 掘 ドライエッチング (BOSCH 法 ) Parylene-HT, 1 µm (d) キャリアウエハ 剥 離 洗 浄 (e) パリレン -HT コーティング(CVD 法 ) (f)ドライ 接 着 フィルム Cu Si Cu, 15 µm! (g)cu シード 付 着 (h)ドライフィルムエッチング (i) 銅 めっき 図 5 パリレン 側 壁 絶 縁 層 TSV 構 造 の 製 造 プロセスフロー 1.09 µm Parylene-HT 1 µm 1 µm Si 36 µm Pitch 50 µm Parylene-HT Cu Si 1.11 µm TEM analysis 10 µm Cu Parylene-HT 20 µm 20 µm 図 6 TSV 構 造 におけるパリレン 側 壁 絶 縁 層 形 成 後 の 断 面 SEM 写 真 図 7 TSV 構 造 におけるパリレン 側 壁 絶 縁 層 Cu めっき 充 填 後 の 断 面 SEM 写 真 5

9 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) り 吹 き 付 けながら 基 板 をスキャン 移 動 させることにより 膜 形 成 を 行 うことで 同 時 にマスク 孔 開 口 上 端 部 にひさし 構 造 が 成 長 するため 孔 内 部 には 自 動 的 に 円 錐 形 状 の 金 バンプが 形 成 される 図 9 には 円 錐 金 バンプを 用 いて 熱 圧 着 法 によりバンプ 接 続 を 形 成 した 円 錐 バンプ 接 続 構 造 1 個 の 断 面 SIM 写 真 を 示 す 温 度 200 で 加 圧 することに より 高 さが 12.6 µm から7.1 µm まで 44 % 圧 縮 されて 8.6 m Ωの 低 抵 抗 接 続 が 実 現 できる その 圧 縮 量 は 印 加 圧 力 により 制 御 することができる このようなチップレベルの 3 次 元 IC 積 層 実 装 プロセス 環 境 を 構 築 することにより 研 究 開 発 段 階 でのラピッドプロ トタイピングの 要 求 に 対 応 するとともに マルチフィジクス 設 計 解 析 環 境 の 構 築 により 電 気 熱 機 械 特 性 を 統 合 的 に 設 計 解 析 できる 複 数 CADツール 統 合 解 析 環 境 およ び IC デバイス 設 計 からシステム 全 体 設 計 まで 上 流 から 下 流 まで 効 率 的 に 設 計 データを 受 け 渡 しできるシームレス 設 計 環 境 の 整 備 を 進 めて 最 終 的 な 実 用 段 階 のおける 全 体 統 合 設 計 へも 対 応 を 進 めている 以 上 の 開 発 シナリオにより 3 次 元 IC 積 層 実 装 の 物 理 的 なハードウエア 集 積 環 境 を 利 用 して 従 来 の 2 次 元 IC では 実 現 できない まったく 新 しい 優 れたコンセプトの 回 50 図 ( ) 個 の 微 細 円 錐 金 バンプ 配 列 の SEM 写 真 ( 一 部 拡 大 : 50) ( 直 径 : 10 µm, 高 さ : 12 µm, ピッチ : 20 µm) シリコン 基 板 路 アーキテクチャー 技 術 の 創 出 に 寄 与 できると 考 えてい る 具 体 的 には 積 層 デバイス 間 における 1000 本 以 上 の 多 チャンネル 電 気 接 続 を 活 用 して 積 層 間 で 可 能 となる 大 容 量 インターフェース 通 信 を 応 用 した 新 しいシステム 機 能 を 発 現 させることが 目 標 となる 次 元 IC 積 層 実 装 システムにおける 総 合 化 の 手 法 3 次 元 IC 積 層 実 装 システムの 設 計 段 階 においては 物 性 ( 電 気 熱 機 械 等 )が 大 きく 異 なる 微 細 な 構 成 要 素 (シ リコン 基 板 貫 通 電 極 微 細 金 属 バンプ 接 続 積 層 間 樹 脂 インターフィル 熱 拡 散 層 等 )を 含 んだ 設 計 基 本 単 位 であ る 3 次 元 IC 積 層 スタンダードセルライブラリをベースにし て 大 規 模 な 集 積 回 路 IC の 設 計 を 進 める 必 要 がある そ のためには まず 設 計 に 必 要 な 基 本 構 成 単 位 としてのス タンダードセル 群 について 各 種 設 計 パラメータを 変 化 させ 用 語 た 複 数 デザインの 評 価 用 TEG 8 デ バ イス に つ い て 設 計 試 作 を 行 い 電 気 熱 機 械 の 諸 特 性 評 価 を 網 羅 的 に 行 う ことにより 標 準 セルのレイアウトデザインライブラリだけ でなく 設 計 ガイドライン 設 計 ルール 等 を 含 む 3 次 元 IC 積 層 デザインツールキットの 整 備 を 進 めていく TEG デ バイスによる 設 計 試 作 評 価 の 一 連 作 業 を 数 回 に 渡 って 実 施 して 徐 々にステップアップするようにツールキットを 整 備 することにより 最 終 的 に 実 用 システムの 設 計 試 作 評 価 が 可 能 となるレベルに 到 達 することを 目 指 す 電 気 と 熱 を 協 調 させた IC 設 計 技 術 の 開 発 例 として 既 存 の IC 設 計 ツールをベースに 独 自 開 発 の 熱 解 析 ソフトウ エアを 組 み 合 わせて 構 築 した IC 設 計 フローの 開 発 につい て 紹 介 する [31] 図 10 は 電 気 熱 協 調 IC 設 計 におけ る 熱 解 析 設 計 工 程 フローを 示 している 初 めに 通 常 の 論 理 IC 設 計 ツールを 用 いて 論 理 設 計 シミュレーションおよ び 回 路 配 置 配 線 を 行 い IC 設 計 基 本 単 位 であるスタンダー ドセル 単 位 での 平 均 電 力 消 費 の 値 を 求 めて セル 内 に 配 置 されているすべてのトランジスタに 消 費 電 力 の 値 を 割 り 振 っ て 値 付 けを 行 う そのような 消 費 電 力 値 設 定 済 みのレイ 7.1 µm Au 円 錐 バンプ Ti-Au 電 極 IC 設 計 スタンダード セル 単 位 で 消 費 電 力 値 の 解 析 温 度 プロファイル シリコン 基 板 4.0 (µm) Synopsys Design Compiler /Cadence Virtuoso ロジック IC 設 計 ツール Gradient Heatwave 3DIC (Power Blurring HD) 温 度 分 布 解 析 ツール 図 9 円 錐 バンプ 接 続 構 造 単 体 の 断 面 SIM 写 真 図 10 電 気 熱 協 調 IC 設 計 における 熱 解 析 設 計 工 程 フロー 6

10 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) アウト 設 計 データに 基 づいて 独 自 に 開 発 した 効 率 的 計 算 が 可 能 なトランジスタレベルでの 熱 解 析 ソフトウエア [32] を 用 いて 熱 解 析 シミュレーションを 実 施 する 図 11 は 複 数 の 設 計 ツールを 用 いた 電 気 熱 協 調 IC 設 計 における 熱 解 析 用 レイアウト 設 計 データのフローを 示 している 4 評 価 用 TEGデバイスを 用 いた 設 計 試 作 評 価 によ る 実 証 研 究 開 発 評 価 用 TEG デバイスの 設 計 試 作 については もし ウエハ 単 位 で 実 施 した 場 合 には 億 単 位 の 費 用 負 担 が 必 要 になり 研 究 所 で 簡 単 に 実 施 できる 予 算 レベルではなく なってしまう 一 方 複 数 のチップ 試 作 案 件 が 相 乗 りして CMOS 半 導 体 IC デバイスをウエハ 単 位 で 設 計 試 作 する CMOS ファンドリのシャトル サービスを 利 用 すると 1/10 以 下 の 費 用 で 評 価 用 TEG デバイスの 試 作 が 可 能 となる このようなシャトルサービスによるデバイス 試 作 を 前 提 とし て 研 究 開 発 を 進 めることとした IC デバイスの 設 計 に 関 しても 自 前 で 設 計 を 進 めるため には 大 規 模 IC 設 計 CAD 環 境 を 整 備 する 必 要 があり 数 億 円 の 費 用 が 必 要 になるが 研 究 所 で 簡 単 に 実 施 でき る 予 算 レベルではない ちなみに 著 者 の 青 柳 仲 川 は 20 年 前 に Mentor 社 の CAD ツールを 用 いて 超 伝 導 集 積 回 路 の 設 計 を 実 施 していた 時 期 があるが その 後 高 額 の ライセンス 費 用 を 負 担 することができず ツール 環 境 の 維 持 を 諦 めた 経 緯 がある 設 計 については 設 計 環 境 を 保 持 して 設 計 受 託 のビジ ネスを 進 めているファブレス 企 業 に 協 力 を 依 頼 する 方 向 で 対 応 することとなった その 協 力 先 としての 候 補 企 業 を 探 し ていたところ 地 元 つくば 市 で 起 業 されたトプスシステム ズ 社 との 知 遇 を 得 た 2007 年 8 月 に 同 社 が 独 自 に 開 発 したヘテロジニアスマ ルチコアアーキテクチャー TOPSTREAM に 関 する 技 術 紹 介 のために 産 総 研 に 来 訪 する 機 会 があり 同 アーキテク チャーが 3 次 元 IC 積 層 実 装 システムとの 親 和 性 が 高 く 新 しいシステム 設 計 技 術 の 具 体 化 にチャレンジできる 可 能 性 を 認 識 することとなり 具 体 的 な 連 携 を 進 めることとなっ た [33] 2008 年 に 経 産 省 の 中 小 企 業 支 援 予 算 を 活 用 して 3 次 元 IC 積 層 対 応 したヘテロジニアスマルチコアアーキテク チャーとして その 中 核 となる 積 層 インターフェース 規 格 Cool Interconnect を 含 めたアーキテクチャー 全 体 の 概 念 構 築 を 進 めて 数 件 の 特 許 出 願 を 行 うとともに 積 層 イン ターフェースについて 具 体 的 に 要 素 技 術 開 発 をスタートさせ た 積 層 インターフェースに 関 しては 費 用 のかかる IC デ バイス 試 作 を 避 けて これまでの 技 術 蓄 積 を 生 かせる 積 層 インターフェースのテスト 検 査 技 術 の 構 築 を 優 先 して 進 め 特 許 出 願 を 行 った [34] 図 12 に Cool Interconnect の 概 念 を 示 す 50 µm 厚 の 薄 型 IC チップの 中 心 部 に 50 µm ピッ チ 1600 個 の 10 µm 径 TSV 配 列 を 形 成 して 微 細 バンプ 接 続 による 最 大 8 層 のチップ 積 層 を 想 定 した 積 層 チップ Verilog 論 理 合 成 デザイン 消 費 電 力 表 Power Note に 対 応 させた 消 費 電 力 解 析 結 果 スタンダードセルの レイアウトデータベース Synopsys デザイン コンパイラー 手 動 で 設 定 された スイッチング 動 作 情 報 消 費 電 力 分 布 表 Power Note findxistor.il ( スキル Skill 言 語 ) Synopsys 消 費 電 力 解 析 結 果 レイアウトデータ ベース 加 工 されたスタンダード セルのレイアウトデータ ベース statdynpower.pl ( パール Perl 言 語 ) devicepower.py ( パイソン Python 言 語 ) Key: 商 用 ツール 専 用 ツール ファイル セル 毎 の 消 費 電 力 値 と 配 置 の 情 報 図 11 電 気 熱 協 調 IC 設 計 における 熱 解 析 用 レイアウト 設 計 データフロー 7

11 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) 間 ワイドバス 双 方 向 通 信 インターフェース 仕 様 となっている 積 層 インターフェース 規 格 Cool Interconnect を 含 めた 3 次 元 IC 積 層 アーキテクチャーの 概 念 構 築 が 完 了 したの を 踏 まえて 2009 年 5 月 に NEDO 省 エネルギー 革 新 技 術 研 究 開 発 事 業 へ 同 社 と 共 同 で 研 究 開 発 プロジェクトの 提 案 を 行 った さいわい 我 々の 提 案 が 首 尾 よく 採 択 され こ の NEDO 研 究 開 発 プロジェクトにより IC デバイスの 設 計 試 作 を 含 む 3 次 元 IC 積 層 アーキテクチャーの 実 証 研 究 を 本 格 的 に 進 めることができた 提 案 内 容 は ヘテロジ ニアスマルチコアアーキテクチャーの 高 精 細 画 像 処 理 シス テムを 3 次 元 積 層 化 により 大 幅 に 省 電 力 化 を 達 成 できる 省 エネルギーデバイス 技 術 の 開 発 であった 図 13 に Cool Interconnect を 用 いた 3 次 元 IC 積 層 システム 設 計 におけ る 省 エネルギーの 考 え 方 を 示 す [35] クロック 周 波 数 を 数 10 MHz に 低 減 させ 複 数 の 異 種 演 算 プロセッサを Cool Interconnect で 接 続 し 複 合 命 令 を 活 用 して 効 率 の 良 い COOL Interconnect: ワイドバス 積 層 インターコネクト 積 層 間 インターフェース 回 路 TSV(10 µmφ, 50 µmd) スケーラブル 積 層 による 異 種 マルチ IC チップ 積 層 シ ステムの 実 現 図 12 Cool Interconnect の 概 念 図 シリコンインターポーザ パッケージ 基 板 微 細 バンプ 50 µm 分 散 処 理 を 行 うことにより 大 幅 な 省 電 力 と 高 い 演 算 能 力 の 確 保 を 目 指 すものである 積 層 インターフェース 規 格 Cool Interconnect に 基 づく 1600 個 のシリコン 基 板 貫 通 電 極 と 微 細 バンプ 接 続 を 想 定 した 3 次 元 IC 積 層 に 対 応 した 超 並 列 バスインターフェー ス 回 路 の 評 価 用 TEG を 設 計 試 作 して まず 微 細 バンプ で 対 面 接 続 された 積 層 デバイス 間 における Gbps/1 mw の 低 消 費 電 力 信 号 伝 送 特 性 を 評 価 した 積 層 インター フェース 回 路 は スタンダードセルのバッファー 回 路 レ シーバー 回 路 を 用 いて 設 計 され 特 殊 なインターフェース 用 差 動 伝 送 回 路 を 用 いることなく 超 並 列 化 により 高 伝 送 容 量 を 実 現 している また 微 細 なシリコン 基 板 貫 通 電 極 TSV の 形 成 について 信 号 伝 送 特 性 に 影 響 する 浮 遊 容 量 を 低 減 させた 低 容 量 化 TSV の 設 計 試 作 を 行 い 電 気 評 価 により10 µm 径 50 µm 深 さの TSV について 0.25 pf/ TSV(8 積 層 時 でも 2 pf)の 低 容 量 特 性 を 検 証 した 残 念 ながら シリコン 基 板 貫 通 電 極 も 含 めた 積 層 後 の 伝 送 特 性 評 価 については 予 算 不 足 でプロジェクト 期 間 内 に 実 施 できなかった 図 14 に Cool Interconnect の 仕 様 に 基 づく 超 並 列 バス インターフェース 回 路 の 通 信 機 能 を 評 価 するための Cool Interconnect 評 価 用 テスト IC デバイスの 写 真 を 示 す 中 心 部 2.16 mm 角 の 領 域 に 信 号 用 TSV バンプが 形 成 さ れ 4 辺 の 周 囲 に 電 源 (Vdd: 2.5 V Vio: 3.3 V) GND! 用 TSV バンプが 形 成 される テストIC デバイスの 試 作 は 0.25 µm ノード CMOS ファンドリのシャトルサービスを 利 用 して 実 施 した 図 15 に 円 錐 バンプのみ(TSV なし) を 形 成 したテスト IC デバイスを 用 いて 熱 圧 着 法 により 対 面 2 次 元 IC システム 構 成 速 度 差 (Memory Wall) 効 率 の 悪 いソフトウェア (マルチタスク 同 期 ) 入 出 力 メモリ 凡 用 プロセッサ (アプリ) (OS) 数 GHz 1 演 算 処 理 /clock 大 容 量 キャッシュ 効 率 の 良 い 分 散 処 理 少 ない 信 号 数 大 きな 配 線 容 量 3 次 元 IC 積 層 システム 構 成 入 出 力 クロック 周 波 数 の 大 幅 な 低 減 演 算 処 理 /clock の 向 上 (n m 倍 ) メモリ 凡 用 プロセッサ (OS) プリント 配 線 基 盤 n 個 のプロセッサ 画 像 処 理 プロセッサ 暗 号 処 理 プロセッサ 通 信 処 理 プロセッサ 複 合 命 令 (m 演 算 処 理 /clock) 数 10MHz 小 容 量 SRAM 多 数 の 信 号 小 さな 配 線 容 量 低 容 量 貫 通 電 極 (TSV) 図 13 Cool Interconnect による 3 次 元 IC 積 層 システムの 省 電 力 化 8

12 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) で 積 層 した 後 の 断 面 構 造 を 観 察 した 顕 微 鏡 写 真 を 示 す 図 16 には 評 価 ボードに 搭 載 された 積 層 後 のテスト IC デ バイスを 示 す 図 17 は デバイスに 組 み 込 まれた 超 並 列 バスインターフェース 通 信 機 能 について 評 価 実 験 を 行 っ た 結 果 である クロック 周 波 数 を 2-50 MHz の 範 囲 で 変 化 させた 際 のインターフェース 回 路 における 消 費 電 力 を 示 して いる 87 mw の 低 消 費 電 力 条 件 で 51.2 Gbps(1024 bit 50 MHz)の 大 容 量 伝 送 動 作 が 検 証 された [36][37] 2.5V 電 源 動 作 の0.25 µmノードcmosデバイスからなるインター フェース 回 路 としては 十 分 に 低 消 費 電 力 で 大 容 量 伝 送 が 実 現 できた より 微 細 なノードのデバイスを 用 いれば さら なる 省 電 力 化 が 期 待 できる なお 図 10 で 説 明 した 電 気 熱 協 調 IC 設 計 フローに 基 づいて 図 18 に 500 MHz 動 作 時 ( 発 熱 を 強 調 するため 高 い クロック 周 波 数 を 設 定 して い る )の 超 並 列 バ ス インター フェース 通 信 インターフェース 回 路 について 理 想 的 ヒート シンク 上 に 配 置 された 単 体 シリコンチップにおける 温 度 上 昇 分 のプロファイルを 解 析 した 結 果 を 示 す [19] ヒートシンク ワイドバス 積 層 インターフェース 回 路 ブロック 占 有 面 積 :2.16 mm 角 による 放 熱 が 効 いており 少 ない 温 度 上 昇 となっている 今 後 の 温 度 実 測 実 験 と 比 較 したシミュレーション 解 析 にお いては 放 熱 経 路 の 熱 特 性 パラメータの 把 握 が 重 要 となっ てくる シリコン 基 板 貫 通 電 極 TSV を 形 成 した 評 価 用 TEG による 積 層 後 の 動 作 検 証 実 験 については 開 発 期 間 の 制 限 と 開 発 リソースの 不 足 により 試 作 検 証 を 実 施 できなかっ たので 今 後 の 研 究 開 発 プロジェクトでの 本 格 試 作 によ る 検 証 が 望 まれる 5 研 究 成 果 に 関 する 今 後 の 展 開 3 次 元 IC 積 層 実 装 技 術 の 実 用 化 開 発 は 産 総 研 を 研 究 拠 点 とする NEDO プロジェクトの 立 ち 上 げにより 新 た な 段 階 に 入 った 具 体 的 には 2013 年 度 にセンサーデバイ スと 信 号 処 理 デバイスを 積 層 してリアルタイム 高 速 画 像 処 理 を 狙 った 3 次 元 異 種 IC 積 層 実 装 技 術 を 含 むNEDOスマー トデバイス 研 究 開 発 プロジェクト [38] の 立 ち 上 げが 行 われ 現 在 研 究 開 発 が 進 行 中 である その 開 発 計 画 では 応 用 システムの 製 造 メーカーから 設 計 部 隊 の 参 画 を 得 て 本 格 的 なウエハ 単 位 での 実 用 レベル CMOS-IC デバイスの 設 計 試 作 を 実 施 する 計 画 を 含 ん で い る 同 時 に 製 造 装 置 メー カーの 参 画 によりウエハレベルでの 3 次 元 IC 積 層 実 装 プ ロセスを 実 施 できる 試 作 製 造 プロセスラインの 整 備 を 産 総 研 内 で 着 実 に 進 めることにより プロジェクト 終 了 時 に 本 上 部 チップ Au/Ti/AI パッド バンプピッチ:50 µm バンプ シリコン 基 板 バンプ 高 さ : 7 µm 外 部 接 続 用 ワイヤ ー ボンドパット 電 源 GND:400 AI パッド 下 部 チップ Au 円 錐 バンプ /Au/Ti/AI パッド シリコン 基 板 図 14 Cool Interconnect 評 価 用 テスト IC デバイス 図 15 熱 圧 着 法 による 積 層 後 のバンプ 接 続 構 造 断 面 の 顕 微 鏡 写 真 評 価 ボード 対 面 積 層 されたテスト IC デバイス クロック 信 号 入 力 コネクタ 図 16 評 価 ボードに 搭 載 された 積 層 後 のテスト IC デバイス 9

13 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) 格 的 な 国 内 における 3 次 元 IC 積 層 試 作 拠 点 として 機 能 さ せることを 目 指 している なお TSV バンプ 形 成 との 積 層 工 程 については 当 面 チップレベルで 実 施 されるが ウエハレベルでの 課 題 解 決 についても 同 時 並 行 的 に 進 め られる 予 定 である 最 後 に 実 証 研 究 開 発 の 経 緯 をまとめて 表 1 に 示 す 6 今 後 の 課 題 この 研 究 が 目 指 す 最 終 的 なアウトカムとしての 実 用 システ ムに 対 応 した 設 計 試 作 評 価 の 研 究 開 発 環 境 構 築 につい ては 非 常 に 多 くの 研 究 人 材 と 研 究 リソースの 投 入 が 必 要 である これまで 研 究 開 発 を 進 めてきた 要 素 技 術 の 統 合 を 進 め 大 きく 発 展 させるために 相 当 規 模 の 研 究 リソース 確 保 に 向 けて 努 力 したい 次 世 代 のさまざまな 低 消 費 電 力 デバイス 技 術 の 開 発 が 進 められており それらの 技 術 と 3 次 元 IC 積 層 実 装 技 術 を 組 み 合 わせることにより 画 期 的 な 高 性 能 低 消 費 電 力 シス テムの 実 現 が 期 待 できる そのために 実 用 システムに 対 表 年 2008 年 実 証 研 究 開 発 の 経 緯 年 年 開 発 経 緯 ヘテロジニアスマルチコアアーキテクチャーと 3 次 元 IC 積 層 実 装 の 融 合 検 討 積 層 インターフェース 規 格 の 基 礎 研 究 ( 経 済 産 業 省 中 小 企 業 支 援 予 算 ) 積 層 インターフェース 回 路 の 実 証 研 究 (NEDO 省 エネルギー 革 新 事 業 ) 3 次 元 IC 積 層 実 装 技 術 の 実 用 開 発 (NEDO 次 世 代 スマートデバイス 開 発 プロジェクト) 実 施 中 応 した 3 次 元 IC 積 層 実 装 システムの 設 計 試 作 評 価 の 環 境 を 活 用 して 回 路 システム 技 術 分 野 の 研 究 者 技 術 者 と 協 力 して 3 次 元 IC 積 層 実 装 のメリットを 最 大 限 に 生 かした 画 期 的 で 高 機 能 な 回 路 システム 技 術 の 開 発 を 加 速 する 必 要 がある 今 後 産 総 研 に 構 築 される 3 次 元 IC 積 層 試 作 拠 点 が 産 学 連 携 のオープンイノベーション 拠 点 とし て 有 効 に 活 用 されることを 期 待 したい 100 Power consumption (mw) データビット 幅 : 1024 bit=128 Byte トグル 率 : 50 % 51.2 Gbps Clock Frequency (MHz) 図 17 並 列 バスインターフェース 回 路 におけるクロック 周 波 数 に 対 する 消 費 電 力 の 依 存 性 Y Location(µm) Y Location(µm) X Location(µm) X Location(µm) 図 MHz 動 作 時 の 積 層 インターフェース 回 路 における 温 度 プロファイルのシミュレーション 解 析 結 果 10

14 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) 用 語 の 説 明 用 語 1: SIP:System in Packageの 略 称 集 積 回 路 ICシステム において 動 作 に 必 要 な 機 能 を 持 つ 複 数 のICチップを 一 つのパッケージ 内 に 集 積 する 方 式 マイクロプロセッ サチップを 中 心 に 各 種 のコントローラ 回 路 チップやメモ リチップ 等 を 積 層 してパッケージ 基 板 に 実 装 する 構 成 が 多 い 用 語 2: SOC:System on Chip の 略 称 集 積 回 路 ICシステムに おいて 動 作 に 必 要 な 機 能 を 持 つ 複 数 の 回 路 ブロック を 一 つの 半 導 体 チップ 内 に 集 積 する 方 式 マイクロプロ セッサをコアに 置 いて 各 種 のコントローラ 回 路 やメモ リ 等 を 統 合 したチップ 構 成 が 多 い 一 般 の 半 導 体 チッ プは 機 能 ごとに 提 供 されるため 回 路 基 板 上 に 複 数 の チップを 実 装 して 相 互 に 接 続 する 必 要 があるのに 対 し て SOCでは 複 数 のチップに 分 かれていた 機 能 を 統 合 し 一 つのチップとして 提 供 することができる 用 語 3: TSV:Through-Silicon Viaの 略 称 シリコン 半 導 体 集 積 回 路 ICチップの 基 板 内 部 を 縦 方 向 に 貫 通 する 電 極 複 数 のI C チップ を 積 層 して 高 密 度 に 集 積 できる3 次 元 IC 積 層 実 装 技 術 で 用 いられる 用 語 4: CPU:Central Processing Unitの 略 称 コンピューター において 中 心 的 な 情 報 処 理 装 置 として 動 作 する 集 積 回 路 プログラムによって さまざまな 数 値 計 算 や 情 報 処 理 機 器 制 御 等 を 実 行 することが できる 用 語 5: IP(コア):Intellectual Property(Core)の 略 称 半 導 体 集 積 回 路 ICを 構 成 するための 部 分 的 な 回 路 情 報 で 特 に 機 能 単 位 でまとめられているものを 指 す 単 にIPと 呼 ぶ 場 合 もある 用 語 6: シリコンフォトニクス: 半 導 体 集 積 回 路 として 広 く 使 わ れるシリコン 基 板 に 微 細 な 光 導 波 路 構 造 を 作 り 込 み さまざまな 機 能 を 持 つデバイスを 一 つの 小 型 チップに 集 積 する 技 術 半 導 体 集 積 回 路 と 光 デバイスの 一 体 集 積 が 可 能 となるため 電 子 システムの 超 小 型 化 低 消 費 電 力 化 を 実 現 する 技 術 として 注 目 されている 用 語 7: ミニマルファブ: 産 総 研 が 提 唱 している ハーフインチ ウエハを 製 造 基 板 単 位 とする 革 新 的 な 半 導 体 製 造 生 産 シ ス テ ムで あ り ( 1 )ハ ー フ イ ン チ 径 ウ エ ハ ( 2 ) 装 置 サイズ30 cm 幅 (3) 局 所 クリーン 化 生 産 システムによる クリーンルームレス という3 点 を 特 徴 とする 用 語 8: TEG:Test Element Groupの 略 本 格 的 な 集 積 回 路 ICの 設 計 製 造 に 先 立 って 基 本 的 な 諸 々の 設 計 パラ メータを 抽 出 することを 目 的 として 特 別 に 設 計 製 造 さ れた 評 価 用 ICチップ 参 考 文 献 [1] 矢 野 経 済 研 究 所 : 携 帯 電 話 の 世 界 市 場 に 関 する 調 査 結 果 2015, レポートサマリー, (2015). [2] 竹 井 淳 : CPUアーキテクチャーの 変 遷, 第 8 回 CKP 研 究 会, (2012). [3] 配 線 板 製 造 技 術 委 員 会 : SiPの 技 術 ロードマップ, エレクトロ ニクス 実 装 学 会 誌, 9 (1), (2006). [4] M. Koyanagi, H. Kurino, K. W. Lee, K. Sakuma, N. Miyakawa and H. Itani: Future system-on-silicon LSI chips, IEEE Micro, 18 (4), (1998). [5] T. Fukushima, H. Kikuchi, Y. Yamada, T. Konno, J. Liang, K. Sasaki, K. Inamura, T. Tanaka and M. Koyanagi: New threedimensional integration technology based on reconfigured wafer-on-wafer bonding technique, IEEE Int. El. Devices Meet. (IEDM), (2007). [6] K. Takahashi, H. Terao, Y. Tomita, Y. Yamaji, M. Hoshino, T. Sato, T. Morifuji, M. Sunohara and M. Bonkohara: Current status of research and development for three-dimensional chip stack technology, Jpn. J. Appl. Phys., 40, (2001). [7] J. M. Yannou, Roadmap Analysis: 3D Wafer Level Packaging, ESTC2010 Workshop 3D WLP, (2010). [8] IBM, ソニー, SCEI, 東 芝 : IBM ソニー SCEI 東 芝 次 世 代 プ ロセッサ Cell の 技 術 仕 様 を 公 開, プレスリリース (2005). [9] M. Kawano, N. Takahashi, Y. Kurita, K. Soejima, M. Komuro and S. Matsui: Three-dimensional packaging technology for stacked DRAM with 3-Gb/s data transfer, IEEE Trans. Electron Devices, 55 (7), (2008). [10] T. Maebashi, N. Nakamura, Y. Sacho, S. Nakayama, E. Hashimoto, S. Toyoda and N. Miyakawa: High density assembly technology using stacking method, IEEE 9th VLSI Packaging Workshop of Japan, (2008). [11] P. Ramm, M. J. Wolf, A. Klumpp, R. Wieland, B. Wunderle, B. Michel and H. Reichl: Through silicon via technology processes and reliability for wafer-level 3D system integration, Proc. 58 th ECTC, (2008). [12] J. U. Knickerbocker, P. S. Andry, B. Dang, R. R. Horton, C. S. Patel, R. J. Polastre, K. Sakuma, E. S. Sprogis, C. K. Tsang, B. C. Webb and S. L. Wright: 3D silicon integration, Proc. 58 th ECTC, (2008). [13] M. Motoyoshi: Through-silicon via (TSV), Proc. IEEE, 97 (1), (2009). [14] U. Kang, HJ. Chung, S. Heo, SH. Ahn, H. Lee, SH. Cha, J. Ahn, DM. Kwon, J. H. Kim, JW. Lee, HS. Joo, WS. Kim, HK. Kim, EM. Lee, SR. Kim, KH. Ma, DH. Jang, NS. Kim, MS. Choi, SJ. Oh, JB. Lee, TK. Jung, JH. Yoo and C. Kim: 8Gb 3D DDR3 DRAM using through-silicon-via technology, ISSCC Digest of Technical Papers, (2009). [15] M. Scannell, G. Poupon, L. Di Cioccio, D. Henry, J.C. Souriau, F. Grossi, P. Leduc, P. Batude, M. Vinet, P. Geugen, L. Clavelier and N. Sillon: 3D packaging and interconnect technologies at CEA-Leti Minatec, Proc. ICEP, (2009). [16] ミニマルファブハンドブック, 産 業 タイムズ 社, (2013). [17] B.T. Tung, X. Cheng, N. Watanabe, F. Kato, K. Kikuchi and M. Aoyagi: Investigation of low-temperature deposition high-uniformity coverage parylene-ht as a dielectric layer for 3D interconnection, Proc. 64 th ECTC, (2014). [18] 五 味 義 宏, 保 坂 泰 司, 平 林 初 人, 若 林 優 治, 山 岸 光, 大 里 啓 孝, 山 地 泰 弘, 菊 地 克 弥, 岡 田 義 邦, 仲 川 博, 青 柳 昌 宏 : LSI 高 密 度 実 装 に 向 けた 錐 形 状 微 細 金 バンプの 形 成, 第 16 回 マイクロエレクトロニクスシンポジウムMES2006 論 文 集, (2006). [19] 居 村 史 人, 劉 小 軍, 根 本 俊 介, 加 藤 史 樹, 菊 地 克 弥, 鈴 木 基 史, 仲 川 博, 青 柳 昌 宏, 五 味 義 宏, 斉 藤 伊 織, 長 谷 川 弘 : ナノ 粒 子 堆 積 技 術 によるAu 錐 形 バンプの 作 製, 第 25 回 エレクト ロニクス 実 装 学 会 講 演 大 会 論 文 集, (2011). 11

15 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) [20] F. Imura, N. Watanabe, S. Nemoto, W. Feng, K. Kikuchi, H. Nakagawa and M. Aoyagi: Development of micro bump joints fabrication process using cone shape Au bumps for 3D LSI chip stacking, Proc. 64 th ECTC, (2014). [21] Y. Yamaji, T. Yokoshima, N. Igawa, K. Kikuchi, H. Nakagawa and M. Aoyagi: A method of fabricating bumpless interconnects applicable to wafer-scale flip-chip bonding, Proc. 10th EPTC, (2008). [22] T. Yokoshima, Y. Yamaji, K. Kikuchi, H. Nakagawa and M. Aoyagi: A method of chemical flip-chip bonding without loading and heating for ultra-fine chip-to-substrate interconnects, Proc. 59th ECTC, (2009). [23] K. Kikuchi, K. Takemura, C. Ueda, O. Shimada, T. Gomyo, Y. Takeuchi, T. Okubo, K. Baba, M. Aoyagi, T. Sudo and K. Otsuka: Low-impedance power distribution network of decoupling capacitor embedded interposers for 3-D integrated LSI system, Proc. 18th EPEPS, (2009). [24] K. Kikuchi, M. Aoyagi, M. Ujiie and S. Takayama; Development of decoupling capacitor embedded interposers using narrow gap chip parts mounting technology with wideband ultralow PDN impedance, Proc. EDAPS, 9-12 (2013). [25] 青 柳 昌 宏, 菊 地 克 弥, 鈴 木 基 史, 仲 川 博 : 3 次 元 LSI 積 層 集 積 技 術 に 求 められる 微 細 構 造 に 対 応 した 局 所 電 気 特 性 評 価 技 術, 電 子 情 報 通 信 学 会 和 文 論 文 誌 C, J93-C (11), (2010). [26] K. Kikuchi, H. Oosato, S. Itoh, S. Segawa, H. Nakagawa, K. Tokoro and M. Aoyagi: 10-Gbps signal propagation of highdensity wiring interposer using photosensitive polyimide for 3D packaging, Proc. 56th ECTC, 2, (2006). [27] K. Kikuchi, C. Ueda, K. Takemura, O. Shimada, T. Gomyo, Y. Takeuchi, T. Ookubo, K. Baba, M. Aoyagi, T. Sudo and K. Otsuka: Low-impedance evaluation of power distribution network for decoupling capacitor embedded interposers of 3D integrated LSI system, Proc. 60th ECTC, (2010). [28] N. Watanabe, M. Eto, K. Kawano and M. Aoyagi: Fine-pitch probing on TSVs and microbumps using a chip prober having a transparent membrane probe card, Proc. 64th ECTC, (2014). [29] M. Aoyagi, F. Imura, S. Melamed, S. Nemoto, N. Watanabe, K. Kikuchi, H. Nakagawa, M. Hagimoto and Y. Matsumoto: Development of testing technology for wide bus chip-to-chip interconnection in 3D LSI chip stacking system, Workshop Digest of 4th IEEE International Workshop on Testing 3D Stacked ICs, (2013). [30] M. Aoyagi, N. Watanabe, M. Suzuki, K. Kikuchi, S. Nemoto, N. Arima, M. Ishizuka, K. Suzuki and T. Shiomi: New optical three dimensional structure measurement method of cone shape micro bumps used for 3D LSI chip stacking, Proc. 3DIC, 1-5 (2013). [31] S. Melamed, F. Imura, M. Aoyagi, H. Nakagawa, K. Kikuchi, M. Hagimoto and Y. Matsumoto: Method for backannotating per-transistor power values onto 3DIC layouts to enable detailed thermal analysis, Proc. ICEP 2014, (2014). [32] S. Melamed, T. Thorolfsson, T. R. Harris, S. Priyadarshi, P. Franzon, M. B. Steer and W. R. Davis: Junction-level thermal analysis of 3D integrated circuits using high definition power blurring, IEEE Trans. Comput.-aided Design Integr Circuits Syst., 31 (5), (2012). [33] Y. Matsumoto and T. Nakamura: Scalable multi-core SoC platform for low-powered architecture, Proc. Cool Chips X, (2007). [34] M. Chacin, H. Uchida, M. Hagimoto, T. Miyazaki, T. Ohkawa, R. Ikeno, Y. Matsumoto, F. Imura, M. Suzuki, K. Kikuchi, H. Nakagawa and M. Aoyagi: COOL interconnect low power interconnection technology for scalable 3D LSI design, Proc. Cool Chips XIV, 1-3 (2011). [35] Y. Matsumoto, T. Morimoto, M. Hagimoto, H. Uchida, N. Hikichi, F. Imura, H. Nakagawa and M. Aoyagi: Cool System scalable 3D stacked heterogeneous Multi-Core/Multi-Chip architecture for ultra low-power digital TV applications, Proc. Cool Chips XV, 1-3 (2012). [36] 居 村 史 人, 根 本 俊 介, 渡 辺 直 也, 加 藤 史 樹, 菊 地 克 弥, 仲 川 博, 萩 本 有 哉, 内 田 裕 之, 大 森 貴 志, 日 比 康 守, 松 本 祐 教, 青 柳 昌 宏 : 3 次 元 積 層 LSIシステムに 向 けた 超 並 列 通 信 バス 方 式 によるチップ 間 インターコネクト 技 術, 電 子 情 報 通 信 学 会 技 術 研 究 報 告, 112 (170), (2012). [37] M. Aoyagi, F. Imura, S.Nemoto, N. Watanabe, F. Kato, K. Kikuchi, H. Nakagawa, M. Hagimoto, H. Uchida and Y. Matsumoto: Wide bus chip-to-chip interconnection technology using fine pitch bump joint array for 3D LSI chip stacking, Proc. IEEE CPMT Symposium Japan (ICSJ), (2012). [38] NEDO 次 世 代 スマートデバイス 開 発 プロジェクト, 閲 覧 日 執 筆 者 略 歴 青 柳 昌 宏 (あおやぎ まさひろ) 1982 年 名 古 屋 工 業 大 学 工 学 部 電 子 工 学 科 卒 同 年 通 商 産 業 省 工 業 技 術 院 電 子 技 術 総 合 研 究 所 に 入 所 以 降 超 伝 導 デバイスの 集 積 回 路 システム 技 術 高 速 高 密 度 実 装 システ ム 技 術 等 の 研 究 開 発 等 に 従 事 1991 年 名 古 屋 工 業 大 学 博 士 ( 工 学 ) 取 得 年 英 国 国 立 物 理 学 研 究 所 客 員 研 究 員 2001 年 ( 独 ) 産 業 技 術 総 合 研 究 所 エレクトロニクス 研 究 部 門 高 密 度 SI グループ 長 2011 年 ナノエレクトロニクス 研 究 部 門 3D 集 積 システムグループ 長 2012 年 同 副 研 究 部 門 長 2015 年 産 総 研 つくばイノベーションアリーナ 推 進 センター 連 携 推 進 ユニット 長 埼 玉 大 学 大 学 院 連 携 教 授 エレクトロニクス 実 装 学 会 応 用 物 理 学 会 電 気 学 会 日 本 機 械 学 会 表 面 技 術 協 会 IEEE IMAPS I E T S P I E A S M E 各 会 員 3 次 元 実 装 技 術 全 般 の 研 究 開 発 を 担 当 居 村 史 人 (いむら ふみと) 2002 年 熊 本 大 学 工 学 部 電 気 システム 工 学 科 卒 2007 年 熊 本 大 学 大 学 院 自 然 科 学 研 究 科 博 士 後 期 課 程 システム 情 報 科 学 専 攻 修 了 博 士 ( 工 学 ) 同 年 ( 独 ) 産 業 技 術 総 合 研 究 所 エレ クトロニクス 研 究 部 門 に 所 属 産 総 研 特 別 研 究 員 2012 年 ミニマルファブ 技 術 研 究 組 合 研 究 員 2015 年 産 総 研 エレクトロニクス 研 究 部 門 支 援 研 究 員 3 次 元 積 層 実 装 基 盤 技 術 の 研 究 開 発 を 担 当 加 藤 史 樹 (かとう ふみき) 2004 年 立 命 館 大 学 卒 2009 年 同 大 大 学 院 理 工 学 研 究 科 博 士 課 程 フロンティア 理 工 学 専 攻 修 了 博 士 ( 工 学 ) 同 年 ( 独 ) 産 業 技 術 総 合 研 究 所 ナノエレクトロニクス 研 究 部 門 に 所 属 産 総 研 特 別 研 究 員 2012 年 産 総 研 先 進 パワーエレクトロニクス 研 究 センター 特 別 研 究 員 2014 年 同 任 期 付 研 究 員 エレクトロニクス 実 装 学 会 会 員 3 次 元 積 層 実 装 放 熱 技 術 の 研 究 開 発 を 担 当 12

16 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) 菊 地 克 弥 (きくち かつや) 1996 年 埼 玉 大 学 工 学 部 電 気 電 子 工 学 科 卒 2001 年 同 大 学 院 理 工 学 研 究 科 博 士 後 期 課 程 情 報 数 理 科 学 専 攻 了 博 士 ( 工 学 ) 同 年 ( 独 ) 産 業 技 術 総 合 研 究 所 エレクトロニクス 研 究 部 門 高 密 度 SI グループ 所 属 以 降 LSI 電 子 実 装 技 術 における 三 次 元 実 装 技 術 をはじめとする 次 世 代 電 子 実 装 技 術 超 高 速 高 周 波 実 装 技 術 およびその 計 測 評 価 技 術 の 研 究 開 発 等 に 従 事 2011 年 同 ナノエレクトロニクス 研 究 部 門 3D 集 積 システムグ ループ 所 属 2015 年 同 3D 集 積 システムグループ 長 電 子 情 報 通 信 学 会 エレクトロニクス 実 装 学 会 応 用 物 理 学 会 IEEE 各 会 員 3 次 元 積 層 実 装 設 計 評 価 技 術 の 研 究 開 発 を 担 当 渡 辺 直 也 (わたなべ なおや) 2004 年 九 州 工 業 大 学 大 学 院 情 報 工 学 研 究 科 博 士 後 期 課 程 修 了 博 士 ( 情 報 工 学 ) 九 州 工 業 大 学 くまもとテクノ 産 業 財 団 福 岡 県 産 業 科 学 技 術 振 興 財 団 に 所 属 年 ( 独 ) 産 業 技 術 総 合 研 究 所 ナノエレクトロニクス 研 究 部 門 研 究 員 IEEE エレクトロニクス 実 装 学 会 応 用 物 理 学 会 各 会 員 3 次 元 積 層 実 装 基 盤 技 術 の 研 究 開 発 を 担 当 鈴 木 基 史 (すずき もとひろ) 1983 年 名 古 屋 工 業 大 学 工 学 部 電 子 工 学 科 卒 同 年 通 商 産 業 省 工 業 技 術 院 電 子 技 術 総 合 研 究 所 入 所 2007 年 エレクトロニクス 研 究 部 門 高 密 度 SI グループ 2009 年 評 価 部 研 究 評 価 推 進 室 年 同 高 密 度 SI グループ 2011 年 同 3D 集 積 システムグループ 2013 年 退 職 エレクトロニクス 実 装 学 会 応 用 物 理 学 会 情 報 処 理 学 会 各 会 員 3 次 元 実 装 電 気 評 価 技 術 の 研 究 開 発 を 担 当 仲 川 博 (なかがわ ひろし) 1978 年 東 京 理 科 大 学 卒 1996 年 東 京 理 科 大 学 博 士 ( 工 学 ) 取 得 ( 独 ) 産 業 技 術 総 合 研 究 所 において 超 伝 導 集 積 回 路 高 密 度 電 子 実 装 技 術 パワーエレクトロニクス 実 装 技 術 の 各 研 究 に 従 事 エレクトロニクス 実 装 学 会 電 気 学 会 応 用 物 理 学 会 各 会 員 3 次 元 実 装 基 盤 技 術 の 研 究 開 発 を 担 当 岡 田 義 邦 (おかだ よしくに) 1965 年 名 古 屋 大 学 工 学 部 電 子 工 学 科 卒 1970 年 同 大 学 院 博 士 課 程 修 了 同 年 通 商 産 業 省 工 業 技 術 院 電 気 試 験 所 入 所 論 理 システム 分 散 システム 情 報 ベース 研 究 室 情 報 計 算 セ ンター 長 を 経 て 1999 年 技 術 研 究 組 合 新 情 報 処 理 研 究 機 構 2002 年 ( 株 ) 知 識 情 報 研 究 所 に 所 属 2004 年 ( 独 ) 産 業 技 術 総 合 研 究 所 エレクトロニクス 研 究 部 門 高 密 度 SI グループ に 所 属 2010 年 退 職 情 報 処 理 学 会 会 員 3 次 元 実 装 インターフェー ス 技 術 の 研 究 開 発 を 担 当 横 島 時 彦 (よこしま ときひこ) 1997 年 早 稲 田 大 学 理 工 学 部 応 用 化 学 科 卒 2002 年 同 大 学 院 博 士 課 程 修 了 博 士 ( 工 学 ) 2001 年 早 稲 田 大 学 理 工 学 部 助 手 2003 年 同 理 工 学 総 合 研 究 センター 客 員 講 師 2004 年 同 各 務 記 念 材 料 技 術 研 究 所 客 員 講 師 ( 秋 田 県 高 度 技 術 研 究 所 出 向 ) 2005 年 ( 独 ) 産 業 技 術 総 合 研 究 所 エレクトロニクス 研 究 部 門 特 別 研 究 員 3 次 元 実 装 プロセス 技 術 の 研 究 開 発 を 担 当 現 在 早 稲 田 大 学 理 工 学 術 院 上 級 研 究 員 研 究 院 教 授 山 地 泰 弘 (やまじ やすひろ) 1983 年 東 京 工 業 大 学 工 学 部 金 属 工 学 科 卒 1985 年 同 大 学 院 総 合 理 工 学 研 究 科 材 料 科 学 専 攻 修 士 課 程 修 了 同 年 ( 株 ) 東 芝 入 社 半 導 体 事 業 本 部 にてアドバンスト LSI パッケー ジ 開 発 業 務 を 担 当 1999 年 技 術 研 究 組 合 超 先 端 電 子 技 術 開 発 機 構 出 向 2007 年 ( 独 ) 産 業 技 術 総 合 研 究 所 エレクトロニクス 研 究 部 門 テ クニカルスタッフ 2010 年 埼 玉 大 大 学 院 博 士 課 程 修 了 博 士 ( 工 学 ) 3 次 元 実 装 プロセス 技 術 の 研 究 開 発 を 担 当 現 在 ( 株 )ジェイデバイス 開 発 部 所 属 根 本 俊 介 (ねもと しゅんすけ) 2006 年 いわき 明 星 大 大 学 院 修 士 課 程 修 了 同 年 ( 株 )ア ル プ スビ ジ ネ ス サ ー ビ ス 所 属 2009 年 ( 独 ) 産 業 技 術 総 合 研 究 所 エレクトロ ニクス 研 究 部 門 テクニカルスタッフ 2015 年 埼 玉 大 大 学 院 博 士 課 程 在 学 中 電 子 情 報 通 信 学 会 エレクトロニクス 実 装 学 会 各 会 員 3 次 元 実 装 プロセス 技 術 の 研 究 開 発 を 担 当 Bui Thanh Tung(ぶい たん とぅん) 年 ベトナム 国 家 大 学 卒 年 ベトナ ム 国 家 大 学 電 気 電 子 通 信 工 学 部 MEMSとマイ クロシステム 研 究 室 研 究 員 2008 年 立 命 館 大 学 大 学 院 理 工 学 研 究 科 創 造 理 工 学 専 攻 修 士 課 程 修 了 2011 年 立 命 館 大 学 大 学 院 理 工 学 研 究 科 総 合 理 工 学 専 攻 博 士 課 程 後 期 課 程 修 了 博 士 ( 工 学 ) 同 年 ( 独 ) 産 業 技 術 総 合 研 究 所 ナノエレクトロニクス 研 究 部 門 特 別 研 究 員 光 電 気 複 合 実 装 技 術 3 次 元 実 装 プロセス 技 術 の 研 究 開 発 を 担 当 Melamed Samson(めらめど さむそん) 年 メリーランド 大 学 コンピュータ 工 学 科 卒 2007 年 ノースカロライナ 州 立 大 学 電 気 工 学 専 攻 修 士 課 程 修 了 2011 年 ノースカロライナ 州 立 大 学 電 気 工 学 博 士 課 程 修 了 PhD 2012 年 ( 独 ) 産 業 技 術 総 合 研 究 所 JSPS リサーチフェ ロー( 欧 米 短 期 ) 2013 年 産 総 研 特 別 研 究 員 2014 年 JSPS リサーチフェロー( 定 着 促 進 ) 3 次 元 実 装 設 計 解 析 技 術 の 研 究 開 発 を 担 当 13

17 研 究 論 文 :3 次 元 IC 積 層 実 装 技 術 の 実 用 化 への 取 り 組 み( 青 柳 ほか) 査 読 者 との 議 論 議 論 1 この 論 文 の 位 置 付 け コメント( 小 林 直 人 : 早 稲 田 大 学 研 究 戦 略 センター) この 論 文 は 3 次 元 IC チップ 積 層 技 術 開 発 のためのシナリオを 描 き そのシナリオに 沿 って 目 標 を 実 現 する 要 素 技 術 とその 統 合 設 計 技 術 等 と 成 果 を 述 べたものであり シンセシオロジーの 論 文 としてふ さわしいものと 言 えましょう ただし 最 も 重 要 な 研 究 目 標 やその 特 色 要 素 技 術 を 統 合 する 中 間 統 合 技 術 の 詳 細 がやや 不 明 確 なので その 点 を 補 強 するとともに 研 究 全 体 を 俯 瞰 した 記 述 や 図 示 等 を 期 待 し ます 回 答 ( 青 柳 昌 宏 ) 要 素 技 術 を 統 合 する 中 間 統 合 技 術 について まとまって 記 述 で きる 段 階 にはまだないと 考 えております 次 回 の 執 筆 機 会 がもしある とすれば 異 分 野 融 合 の 研 究 手 法 の 例 として ぜひチャレンジしてみ たいと 思 っております コメント( 金 丸 正 剛 : 産 業 技 術 総 合 研 究 所 ) この 論 文 は 集 積 回 路 の 集 積 度 向 上 を 目 指 す 新 しい 手 法 である 3 次 元 積 層 技 術 に 関 する 産 総 研 での 研 究 開 発 の 成 果 を 報 告 したもので す 3 次 元 積 層 技 術 はアイデアとしてはこれまでにもありましたが 集 積 回 路 の 集 積 化 が 素 子 の 微 細 化 により 進 んでいるときには 3 次 元 化 の 技 術 的 困 難 さもあることから 研 究 開 発 の 主 題 ではありませんでし た 微 細 化 限 界 が 認 識 されるようになった 現 時 点 では 改 めて 3 次 元 積 層 技 術 が 注 目 されています しかし この 技 術 は 多 くの 技 術 課 題 があり そのための 要 素 技 術 開 発 が 必 要 です 産 総 研 がこの 課 題 に 取 り 組 むために 限 られた 研 究 リソースを 効 果 的 に 利 用 する 戦 略 を 取 ってきたと 考 えます その 観 点 での 取 り 組 みをこの 論 文 でより 明 確 に 論 じていただければ 要 素 技 術 のインテグレーションが 必 要 な 他 の 研 究 課 題 を 遂 行 する 場 合 の 有 益 な 情 報 になると 考 えます 回 答 ( 青 柳 昌 宏 ) 3 次 元 積 層 技 術 の 課 題 に 取 り 組 むために 限 られた 研 究 リソースを 効 果 的 に 利 用 する 戦 略 の 具 体 的 取 り 組 みについて 課 題 の 優 先 順 位 と 研 究 リソースの 確 保 状 況 について 時 系 列 で 分 かるように 追 記 いた しました 議 論 2 目 標 について コメント( 小 林 直 人 ) この 論 文 では 3 次 元 IC チップ 積 層 技 術 の 系 統 的 な 開 発 を 目 指 し ていることは 分 かりますが 他 にはない 特 色 ある 実 用 技 術 として 何 を どの 程 度 実 現 することを 目 標 としているのか またその 技 術 の 実 現 に より 企 業 はどのようにそれを 利 用 できるのか 等 を 記 述 されることを 望 みます 特 に 第 6 章 に 最 終 的 なアウトカムとしての 実 用 システムに 対 応 した 設 計 試 作 評 価 の 環 境 提 供 と 記 されていますが それ を 最 終 目 標 であるとした 場 合 この 研 究 では 現 実 的 なアウトプットと して 何 を 目 標 としたのか 等 の 記 載 があるとよいと 思 います 記 載 の 個 所 としては 2 章 の 最 後 がよいと 思 いますが その 場 合 2 章 のタイト ルを 例 えば 3 次 元 IC 積 層 実 装 による 電 子 ハードウエアシステム 集 積 技 術 の 高 度 化 とこの 研 究 の 狙 い 等 としてはいかがでしょうか 回 答 ( 青 柳 昌 宏 ) ご 指 摘 いただきました この 研 究 で 目 標 とした 現 実 的 なアウトプット の 記 載 があるとよいと 思 います について 2 章 の 最 後 に 追 記 をいた しました 議 論 3 競 合 技 術 との 比 較 質 問 コメント( 金 丸 正 剛 ) 図 1 で 示 された SOC SiP 3 次 元 積 層 技 術 の 比 較 を 電 子 シス テムを 構 築 する 観 点 での 利 害 得 失 をこの 論 文 で 分 かりやすく 論 じてく ださい その 結 果 として 技 術 的 困 難 さの 高 い 3 次 元 積 層 技 術 が 期 待 される 理 由 が 明 確 になると この 論 文 の 価 値 が 読 者 に 理 解 される のではないでしょうか 回 答 ( 青 柳 昌 宏 ) 3 次 元 積 層 技 術 の 実 施 形 態 は 性 能 向 上 の 指 標 として 性 能 消 費 電 力 サイズ 設 計 コスト 製 造 コスト 等 を 考 えたとき さまざま な 値 の 組 み 合 わせ( 性 能 優 先 コスト 優 先 など)で 実 施 可 能 であり 単 純 な 利 害 得 失 を 比 較 するのが 難 しいと 考 えています この 論 文 で は 代 表 例 として 消 費 電 力 優 先 の 実 施 例 を 取 り 上 げています 議 論 4 3 次 元 積 層 技 術 の 具 体 的 応 用 分 野 質 問 コメント( 小 林 直 人 ) 汎 用 的 な 半 導 体 技 術 は 開 発 に 大 きな 投 資 が 必 要 であり また 競 争 も 厳 しく 変 化 の 速 い 技 術 です そのため SOC 技 術 はビジネス 上 の 苦 戦 を 強 いられていると 文 中 ( 第 2 章 )にも 書 かれていますが 3 次 元 積 層 技 術 も 役 立 つとなればこのようなハイエンド 技 術 においても 極 めて 近 未 来 に 実 用 化 される 可 能 性 があり 同 様 のビジネス 上 の 課 題 が 生 起 すると 思 われます その 一 方 で センサー アクチュエータ 等 と 組 み 合 わせた 機 能 性 半 導 体 デバイスの 場 合 はより 特 殊 な 技 術 も 必 要 だと 思 われ すでに TSV が 利 用 されている 部 分 もあります この 研 究 で 狙 っている 3 次 元 積 層 半 導 体 の 出 口 ( 具 体 的 応 用 分 野 )はど んな 点 なのでしょうか 回 答 ( 青 柳 昌 宏 ) この 研 究 で 狙 っている 3 次 元 積 層 半 導 体 の 出 口 ( 具 体 的 応 用 分 野 ) について 5 章 に 追 記 をしました 議 論 5 3 次 元 積 層 技 術 によるコスト 削 減 質 問 コメント( 小 林 直 人 ) 3 次 元 積 層 技 術 はすでに 世 界 中 で 注 目 されており すでに SOC と DRAM の 積 層 化 等 が 取 り 組 まれているものの 現 状 ではコストが 隘 路 になっていると 聞 いています 文 中 ( 第 2 章 )では 3 次 元 IC チッ プ 積 層 技 術 により 開 発 製 造 のコストも SOC に 比 べて 大 幅 削 減 が 可 能 と 書 かれていますが SiP 技 術 の 発 展 形 としての 3 次 元 積 層 技 術 の 具 体 的 なコスト 見 積 もりや 見 通 しがあればご 教 示 ください 回 答 ( 青 柳 昌 宏 ) コストに 関 する 見 積 もりについて 公 開 情 報 の 範 囲 内 では 確 度 の ある 見 積 もりが 困 難 な 状 況 です 一 般 的 な 記 述 を 追 記 するとともに 技 術 調 査 会 社 の 関 連 資 料 を 参 考 文 献 に 追 加 しました 14

18 シンセシオロジー 研 究 論 文 レアメタル 資 源 の 安 定 供 給 を 目 指 して レアアース 資 源 確 保 のための 取 り 組 みと 課 題 高 木 哲 一 新 興 工 業 国 の 経 済 発 展 により 21 世 紀 に 入 り 金 属 資 源 価 格 が 高 騰 し さらに 年 には 中 国 のレアアース 輸 出 制 限 によるレア アース 危 機 が 勃 発 した これらを 受 けて 2010 年 より 産 総 研 では レアメタル 資 源 研 究 拠 点 の 整 備 海 外 地 質 調 査 機 関 との 協 力 関 係 の 構 築 を 進 めた さらに 南 ア 米 国 ブラジル モンゴル 等 でのレアアース 資 源 調 査 を 積 極 的 に 進 めた 特 に 南 アでは 有 望 な 重 レアアー ス 鉱 徴 地 を 発 見 した 2011 年 秋 以 降 レアアース 価 格 の 暴 落 により 世 界 中 のレアアース 資 源 開 発 計 画 が 頓 挫 し レアメタル 資 源 開 発 の リスクの 高 さを 露 呈 した 民 間 にそのリスクに 耐 えて 次 のレアアース 危 機 へ 備 えを 求 めるのは 困 難 であり 産 総 研 による 調 査 研 究 体 制 の 継 続 が 求 められている キーワード:レアメタル 鉱 物 資 源 レアアース 安 定 供 給 新 興 工 業 国 Securing a stable supply of critical raw metals - Efforts and issues for the securement of rare-earth resources - Tetsuichi TAKAGI In the early 21st century, metal prices soared due to the economic development of newly industrialized countries. Moreover, a rareearth resource crisis occurred between 2009 and 2012 due to export restrictions imposed by China. Against this background, AIST set up a research base for critical metal resources, and established collaborative relationships with some foreign geological survey agencies (GS) from Furthermore, joint surveys were conducted with GS of South Africa, United States, Brazil, Mongolia, and others. While undertaking these surveys, we found a promising prospect for heavy rare-earth in South Africa. After the autumn of 2011, however, the prices of rare-earths collapsed, and the majority of rare-earth exploration/mining programs worldwide were put on hold. This sequence of events revealed the risks that accompany the development of critical metal resources. To mitigate the impact of future crises, AIST should continue research and development of rare-earth resources. Keywords:Rare metal, mineral resource, rare-earths, stable supply, BRICS 1 はじめに 1.1 レアメタルとは 金 属 資 源 は 鉄 やアルミニウム 等 大 量 に 生 産 消 費 され るコモンメタル( 汎 用 金 属 ) 産 業 の 基 礎 材 料 となるベース メタル 金 銀 等 の 貴 金 属 産 出 量 使 用 量 共 に 少 ないが 産 業 に 重 要 なレアメタル 等 に 分 類 される( 図 1) 日 本 では レアメタルとして 31 種 類 の 金 属 が 経 済 産 業 省 により 指 定 さ れ 他 の 金 属 資 源 とは 区 別 して 安 定 供 給 対 策 が 講 じられて いる [1] レアアース( 希 土 類 元 素 )はレアメタル 中 の 1 金 属 として 扱 われるが 実 際 にはランタノイド 元 素 15 種 にスカ ンジウム(Sc) イットリウム(Y)を 加 えた 17 種 の 金 属 の 総 称 である ランタノイド 元 素 の 内 原 子 量 がユーロピウム (Eu)より 軽 い 元 素 を 軽 レアアース 重 い 元 素 を 重 レアアー スと 呼 び 区 別 する 軽 レアアースは 蛍 光 材 ガラス 研 磨 剤 鉄 鋼 用 脱 酸 素 剤 Ni-H 電 池 石 油 精 製 用 触 媒 等 に 重 レ アアースは 高 性 能 磁 石 に 主 に 用 いられる 1.2 レアメタルショック 日 本 の 金 属 鉱 業 は 第 二 次 世 界 大 戦 後 鉱 量 の 減 少 枯 渇 等 により 徐 々に 縮 小 していたが 1980 年 代 以 降 の 円 高 賃 金 の 上 昇 公 害 問 題 等 により 急 速 に 衰 退 した 主 力 の 大 規 模 高 品 位 鉱 山 も 相 次 いで 採 掘 を 終 了 し( 秋 田 県 北 鹿 地 区 黒 鉱 1993 年 : 岐 阜 県 神 岡 鉱 山 2001 年 : 北 海 道 豊 羽 鉱 山 2006 年 ) 現 在 は 数 ヵ 所 の 金 鉱 山 ( 鹿 児 島 県 菱 刈 鉱 山 等 )が 稼 行 するのみとなっている 今 日 金 属 資 源 のほぼ 全 量 を 輸 入 に 頼 る 日 本 の 産 業 にとって その 安 定 供 給 は 死 活 問 題 である さいわい 1980 ~ 2000 年 代 初 頭 ま で 金 属 資 源 の 価 格 は 比 較 的 安 定 しており 経 済 力 により 自 由 に 輸 入 できる 時 代 が 続 いた この 時 期 鉱 物 資 源 確 保 産 業 技 術 総 合 研 究 所 地 圏 資 源 環 境 研 究 部 門 つくば 市 東 中 央 第 7 Institute for Geo-Resources and Environment, AIST Tsukuba Central 7, Higashi, Tsukuba , Japan Original manuscript received January 9, 2015, Revisions received September 7, 2015, Accepted September 10, 2015 Synthesiology Vol.9 No.1 pp.15-25(feb. 2016) 15

19 研 究 論 文 :レアメタル 資 源 の 安 定 供 給 を 目 指 して( 高 木 ) に 対 する 危 機 感 は 官 民 共 に 十 分 に 高 かったとは 言 えない ところが 安 定 していた 非 鉄 金 属 資 源 の 価 格 が 2004 年 頃 から 急 激 に 上 昇 を 始 めた レアメタルショック の 始 まりである( 図 2 3) これは 主 に BRICS 等 の 新 興 工 業 国 における 金 属 資 源 消 費 量 が 市 場 のバッファー 能 力 を 超 えて 増 加 したことに 起 因 する この 価 格 高 騰 はリーマン ショックで 一 時 収 束 するが その 後 また 価 格 が 上 昇 し 現 在 に 至 っている レアメタル ベースメタル 等 の 非 鉄 金 属 資 源 は 世 界 的 な 争 奪 戦 の 様 相 を 呈 しており 民 間 企 業 の 対 応 範 囲 を 超 えて 国 対 国 の 構 図 となっている 鉱 物 資 源 の 確 保 には 経 済 力 のみならず 政 治 外 交 や 科 学 技 術 等 の 総 合 力 が 必 要 な 時 代 に 入 ったと 言 える 経 済 産 業 省 は 2009 年 7 月 に レアメタル 確 保 戦 略 [2] を 策 定 し 国 が 前 面 に 出 て 資 源 確 保 戦 略 を 積 極 的 に 推 進 する 政 策 を 打 ち 出 した 2 レアメタル 資 源 研 究 の 目 的 2.1 レアメタル 資 源 研 究 レアメタルの 研 究 は 材 料 科 学 と 地 球 科 学 に 大 きく 二 分 することができる 前 者 は レアメタルを 利 用 した 高 性 能 材 料 レアメタルの 使 用 量 削 減 代 替 材 料 リサイクル 等 のための 研 究 開 発 が 目 的 である 一 方 後 者 はレアメタル 資 源 の 探 査 資 源 評 価 が 目 的 である( 選 鉱 製 錬 技 術 の 開 発 は 一 部 両 者 にまたがっている) 産 総 研 ではこれらレア メタル 関 連 研 究 を 総 合 的 に 実 施 していることから 2006 年 H He Li Be B C N O F Ne Na Mg Al Si P S Cl Ar K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe Cs Ba *1 Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn Fr Ra *2 Rf Db Sg Bh Hs Mt Ds Rg Cn Fl Lv *1 *1 La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb Lu *2 *2 Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No Lr Ti レアメタル Cu ベースメタル *1 *1 ランタノイド Dy レアアース(レアメタルの 一 部 ) Au 貴 金 属 *2 *2 アクチノイド Fe コモンメタル( コモンメタル( 汎 用 金 属 ) 図 1 金 属 資 源 の 一 般 的 な 分 類 ($/t) 60,000 50,000 Ni ($/kg) Mo 40, ,000 20, , ($/MTU) ($/toz) W 2,500 2,000 Pt 300 1, , 図 2 主 要 レアメタル 価 格 の 推 移 (ロンドン 金 属 取 引 所 価 格 を 基 に 経 済 産 業 省 が 作 成 ) 16

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について 平 成 25 年 度 独 立 行 政 法 日 本 学 生 支 援 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 日 本 学 生 支 援 機 構 は 奨 学 金 貸 与 事 業 留 学 生 支 援

More information

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1 独 立 行 政 法 人 統 計 センター( 法 人 番 号 7011105002089)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 独 立 行 政 法 人 通 則 法 第 52 条 第 3 項 の 規 定 に 基 づき

More information

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定

質 問 票 ( 様 式 3) 質 問 番 号 62-1 質 問 内 容 鑑 定 評 価 依 頼 先 は 千 葉 県 などは 入 札 制 度 にしているが 神 奈 川 県 は 入 札 なのか?または 随 契 なのか?その 理 由 は? 地 価 調 査 業 務 は 単 にそれぞれの 地 点 の 鑑 定 62 (Q&A) 目 次 1 鑑 定 評 価 の 委 託 は 入 札 か 随 意 契 約 か またその 理 由 は 何 か 2 委 託 料 は 他 県 と 比 べて 妥 当 性 のある 金 額 か 3 地 価 公 示 ( 国 の 調 査 )との 違 いは 何 か また 国 の 調 査 結 果 はどう 活 用 しているか 4 路 線 価 を 利 用 しない 理 由 は 何 か 5 委 託 料 の 算

More information

Microsoft PowerPoint - 報告書(概要).ppt

Microsoft PowerPoint - 報告書(概要).ppt 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 論 点 と 意 見 について ( 概 要 ) 神 奈 川 県 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 検 討 会 議 について 1 テーマ 地 方 公 務 員 制 度 改 革 ( 総 務 省 地 方 公 務 員 の 労 使 関 係 制 度 に 係 る 基 本 的 な 考 え 方 )の 課 題 の 整

More information

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63>

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63> 平 成 22 年 11 月 9 日 高 校 等 の 授 業 料 無 償 化 の 拡 大 検 討 案 以 下 は 大 阪 府 の 検 討 案 の 概 要 であり 最 終 的 には 平 成 23 年 2 月 議 会 での 予 算 の 議 決 を 経 て 方 針 を 確 定 する 予 定 です Ⅰ. 検 討 案 の 骨 子 平 成 23 年 度 から 大 阪 の 子 どもたちが 中 学 校 卒 業 時 の

More information

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378>

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378> 平 成 27 年 度 施 策 評 価 調 書 施 策 の 名 称 等 整 理 番 号 22 評 価 担 当 課 営 業 戦 略 課 職 氏 名 施 策 名 ( 基 本 事 業 ) 商 業 の 活 性 化 総 合 計 画 の 位 置 づけ 基 本 目 主 要 施 策 4 想 像 力 と 活 力 にあふれたまちづくり 商 業 の 振 興 2 施 策 の 現 状 分 析 と 意 図 施 策 の 対 象 意

More information

●電力自由化推進法案

●電力自由化推進法案 第 一 八 五 回 参 第 二 号 電 力 自 由 化 推 進 法 案 目 次 第 一 章 総 則 ( 第 一 条 - 第 三 条 ) 第 二 章 電 力 自 由 化 の 基 本 方 針 ( 第 四 条 - 第 九 条 ) 第 三 章 電 力 自 由 化 推 進 本 部 ( 第 十 条 - 第 十 九 条 ) 附 則 第 一 章 総 則 ( 目 的 ) 第 一 条 この 法 律 は 平 成 二 十

More information

一般競争入札について

一般競争入札について ( 一 般 競 争 入 札 ) 総 合 評 価 落 札 方 式 ガイドライン 平 成 21 年 4 月 ( 独 ) 工 業 所 有 権 情 報 研 修 館 1.はじめに 現 在 公 共 調 達 の 透 明 性 公 正 性 をより 一 層 めることが 喫 緊 の 課 題 とな っており 独 立 行 政 法 人 も 含 めた 政 府 全 体 で 随 意 契 約 の 見 直 しに 取 り 組 んで おります

More information

入 札 参 加 者 は 入 札 の 執 行 完 了 に 至 るまではいつでも 入 札 を 辞 退 することができ これを 理 由 として 以 降 の 指 名 等 において 不 利 益 な 取 扱 いを 受 けることはない 12 入 札 保 証 金 免 除 13 契 約 保 証 金 免 除 14 入

入 札 参 加 者 は 入 札 の 執 行 完 了 に 至 るまではいつでも 入 札 を 辞 退 することができ これを 理 由 として 以 降 の 指 名 等 において 不 利 益 な 取 扱 いを 受 けることはない 12 入 札 保 証 金 免 除 13 契 約 保 証 金 免 除 14 入 入 札 公 告 次 のとおり 一 般 競 争 入 札 に 付 します なお 本 業 務 の 契 約 締 結 は 当 該 業 務 に 係 る 平 成 27 年 度 予 算 の 執 行 が 可 能 となってい ることを 条 件 とします 平 成 27 年 2 月 17 日 独 立 行 政 法 人 鉄 道 建 設 運 輸 施 設 整 備 支 援 機 構 契 約 担 当 役 鉄 道 建 設 本 部 九 州

More information

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている 清 瀬 市 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (25 年 度 末 ) 25 年 度 千 74,247 27,195,534 A 768,602 千 4,616,550 B 千 17.0 B/A 昨 年 度 の 件 費 率 17.3

More information

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6 様 式 租 税 特 別 措 置 等 に 係 る 政 策 の 事 前 評 価 書 1 政 策 評 価 の 対 象 とした 産 業 活 力 の 再 生 及 び 産 業 活 動 の 革 新 に 関 する 特 別 措 置 法 に 基 づく 登 録 免 租 税 特 別 措 置 等 の 名 称 許 税 の 特 例 措 置 の 延 長 ( 国 税 32)( 登 録 免 許 税 : 外 ) 2 要 望 の 内 容

More information

公表表紙

公表表紙 国 立 大 学 法 人 山 梨 大 学 の 役 の 報 酬 給 与 等 について 国 立 大 学 法 人 等 の 役 員 の 報 酬 等 及 び の 給 与 の 水 準 の 公 表 方 法 等 について(ガイドライン) ( 平 成 17 年 2 月 7 日, 総 務 大 臣 策 定 )に 基 づく 公 表 平 成 26 年 9 月 国 立 大 学 法 人 山 梨 大 学 国 立 大 学 法 人 山

More information

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や 参 考 資 料 1-17 民 間 都 市 整 備 事 業 建 築 計 画 に 関 わる 関 連 制 度 の 整 理 都 市 開 発 諸 制 度 には 公 開 空 地 の 確 保 など 公 共 的 な 貢 献 を 行 う 建 築 計 画 に 対 して 容 積 率 や 斜 線 制 限 などの 建 築 基 準 法 に 定 める 形 態 規 制 を 緩 和 することにより 市 街 地 環 境 の 向 上 に

More information

文化政策情報システムの運用等

文化政策情報システムの運用等 名 開 始 終 了 ( 予 定 ) 年 度 番 号 0406 平 成 25 年 行 政 レビューシート ( 文 部 科 学 省 ) 文 化 政 策 情 報 システム 運 用 等 担 当 部 局 庁 文 化 庁 作 成 責 任 者 平 成 8 年 度 なし 担 当 課 室 長 官 官 房 政 策 課 政 策 課 長 清 水 明 会 計 区 分 一 般 会 計 政 策 施 策 名 根 拠 法 令 ( 具

More information

私立大学等研究設備整備費等補助金(私立大学等

私立大学等研究設備整備費等補助金(私立大学等 私 立 大 学 等 研 究 設 備 整 備 費 等 補 助 金 ( 私 立 大 学 等 研 究 設 備 等 整 備 費 ) 交 付 要 綱 目 次 第 1 章 通 則 ( 第 1 条 - 第 4 条 ) 第 2 章 私 立 大 学 等 ( 第 5 条 - 第 15 条 ) 第 3 章 専 修 学 校 ( 第 16 条 - 第 25 条 ) 第 4 章 補 助 金 の 返 還 ( 第 26 条 ) 第

More information

m07 北見工業大学 様式①

m07 北見工業大学 様式① 国 立 大 学 法 人 北 見 工 業 大 学 ( 法 人 番 号 6460305000387)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 当 該 法 人 の 主 要 事 業 は 教 育 研 究 事 業 である 役

More information

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73>

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73> 国 立 大 学 法 人 茨 城 大 学 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 24 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 役 員 に 支 給 される 給 与 のうち 期 末 特 別 手 当 については 国 立 大 学 評 価 委 員 会

More information

Microsoft Word - 佐野市生活排水処理構想(案).doc

Microsoft Word - 佐野市生活排水処理構想(案).doc 佐 野 市 生 活 排 水 処 理 構 想 ( 案 ) 平 成 27 年 12 月 佐 野 市 目 次 1. 生 活 排 水 処 理 構 想 について 1.1 生 活 排 水 処 理 構 想 とは P.1 1.2 生 活 排 水 処 理 施 設 の 種 類 P.1 2. 佐 野 市 の 現 状 と 課 題 2.1 整 備 状 況 P.2 2.2 主 な 汚 水 処 理 施 設 P.2 2.3 生 活

More information

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農 国 営 かんがい 排 水 事 業 石 垣 島 地 区 事 業 の 概 要 本 事 業 は 沖 縄 本 島 から 南 西 約 400kmにある 石 垣 島 に 位 置 する 石 垣 市 の4,338haの 農 業 地 帯 において 農 業 用 水 の 安 定 供 給 を 図 るため 農 業 水 利 施 設 の 改 修 整 備 を 行 うものである 事 業 の 目 的 必 要 性 本 地 区 は さとうきびを

More information

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36 独 立 行 政 法 人 駐 留 軍 等 労 働 者 労 務 管 理 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 検 証 結 果 理 事 長 は 今 中 期 計 画 に 掲 げた 新 たな 要

More information

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑 等 の 当 初 見 直 し 案 の 検 討 状 況 について 資 料 1-1 項 目 名 検 討 検 討 の 進 め 方 検 討 状 況 都 道 府 県 担 当 者 との 意 見 交 換 では 結 果 精 度 の 低 下 に 伴 い 結 果 が 活 用 されなくなった 場 合 の 員 のモチベーション 低 下 の 可 能 性 や 員 の 配 置 換 え 等 についての 考 慮 が 必 要 との 意

More information

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc)

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc) (1) 1 ア 調 査 すべき の 手 法 情 報 できる 主 要 な 眺 望 地 点 及 び 主 要 で 身 近 な 視 点 の 状 況 な 実 視 施 点 地 ( 区 点 不 域 のうち 特 周 定 辺 の 多 主 数 の 要 な なものをいう 人 々 眺 望 又 地 は 点 周 ( 辺 の 不 以 住 特 下 民 定 が 同 多 じ ) 数 の する 人 及 々が 場 び 所 対 利 で 象

More information

16 日本学生支援機構

16 日本学生支援機構 様 式 1 公 表 されるべき 事 項 独 立 行 政 法 人 日 本 学 生 支 援 機 構 ( 法 人 番 号 7020005004962)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 日 本 学 生 支 援 機

More information

0605調査用紙(公民)

0605調査用紙(公民) 社 会 公 民 番 号 2 略 称 東 京 書 籍 書 名 新 編 新 し 公 民 1 基 礎 基 本 確 実 な 定 着 を 図 るため を 促 すため や 個 応 じた 3 単 元 ( 単 元 設 定 4 各 年 ( び や 考 え 展 開 5 特 徴 的 な 単 元 おけ る 課 題 関 わり 等 ア 1 単 位 時 間 ( 見 開 き 2 頁 ) 毎 課 題 を 設 定 し 課 題 関 連

More information

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63>

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63> 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 平 成 27 年 6 月 18 日 一 般 社 団 法 人 日 本 電 設 工 業 協 会 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 について 1. 調 査 の 目 的 社 会 保 険 加 入 促 進 計 画 の 計 画 期 間 (H24 年 度 ~H28 年 度 までの5 年 間 )の 中 間 時 点 として 1

More information

別紙3

別紙3 別 紙 3 1 総 括 平 成 26 年 度 栃 木 市 の 給 与 定 員 管 理 等 に つ い て (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 区 分 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (26 年 1 月 1 日 ) A B B / A 24 年 度 の 件 費 率 % % 25 年 度 146,544 56,331,297

More information

国 家 公 務 員 の 年 金 払 い 退 職 給 付 の 創 設 について 検 討 を 進 めるものとする 平 成 19 年 法 案 をベースに 一 元 化 の 具 体 的 内 容 について 検 討 する 関 係 省 庁 間 で 調 整 の 上 平 成 24 年 通 常 国 会 への 法 案 提

国 家 公 務 員 の 年 金 払 い 退 職 給 付 の 創 設 について 検 討 を 進 めるものとする 平 成 19 年 法 案 をベースに 一 元 化 の 具 体 的 内 容 について 検 討 する 関 係 省 庁 間 で 調 整 の 上 平 成 24 年 通 常 国 会 への 法 案 提 国 家 公 務 員 の 年 金 払 い 退 職 給 付 の 創 設 について 財 務 省 主 計 局 給 与 共 済 課 長 調 査 主 任 土 谷 晃 浩 加 塩 雄 斗 1.はじめに ( 以 下 19 年 一 元 化 法 案 という )において 厚 退 職 給 付 ( 退 職 金 + 年 金 ( 事 業 主 分 ))の 官 民 均 衡 を 図 る 観 点 から 国 家 公 務 員 の 退 職 手

More information

<5461726F2D819A8B638E968E9197BF82528E968BC68C7689E68F918145975C>

<5461726F2D819A8B638E968E9197BF82528E968BC68C7689E68F918145975C> 平 成 25年 度 事 業 計 画 書 1. 基 本 方 針 観 光 立 国 の 実 現 を 図 るためには 観 光 の 主 体 である 地 域 におけ る 観 光 振 興 のための 活 動 を 支 援 し その 促 進 を 図 るとともに 地 域 と 産 業 界 の 幅 広 い 連 携 を 促 進 し 提 言 活 動 や 各 種 の 事 業 等 を 行 うことが 重 要 である 今 年 度 より

More information

<6E32355F8D918DDB8BA697CD8BE28D C8EAE312E786C73>

<6E32355F8D918DDB8BA697CD8BE28D C8EAE312E786C73> 株 式 会 社 国 際 協 力 銀 行 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 国 会 で 認 められた 予 算 に 従 い 国 家 公 務 員 指 定 職 に 準 じた 水 準 としている なお 役 員

More information

<4D6963726F736F667420576F7264202D2083828366838B8E968BC695E58F5781698CA79770816A2E646F63>

<4D6963726F736F667420576F7264202D2083828366838B8E968BC695E58F5781698CA79770816A2E646F63> 新 しい 公 共 支 援 事 業 基 金 委 託 事 業 ( 新 しい 公 共 の 場 づくりのためのモデル 事 業 ( 県 対 象 )) の 平 成 23 年 度 募 集 について 第 1 目 的 本 事 業 は 愛 知 県 が 定 める 愛 知 県 新 しい 公 共 支 援 事 業 基 金 委 託 事 業 実 施 要 領 ( 平 成 23 年 6 月 以 下 実 施 要 領 という ) に 基

More information

18 国立高等専門学校機構

18 国立高等専門学校機構 様 式 1 公 表 されるべき 事 項 独 立 行 政 法 人 国 立 高 等 専 門 学 校 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 当 機 構 役 員 給 与 規 則 で 文 部 科

More information

学校教育法等の一部を改正する法律の施行に伴う文部科学省関係省令の整備に関する省令等について(通知)

学校教育法等の一部を改正する法律の施行に伴う文部科学省関係省令の整備に関する省令等について(通知) 27 文 科 初 第 1593 号 平 成 28 年 3 月 22 日 各 都 道 府 県 知 事 各 都 道 府 県 教 育 委 員 会 各 指 定 都 市 教 育 委 員 会 殿 附 属 学 校 を 置 く 各 国 立 大 学 法 人 学 長 構 造 改 革 特 別 区 域 法 第 12 条 第 1 項 の 認 定 を 受 けた 地 方 公 共 団 体 の 長 文 部 科 学 省 初 等 中 等

More information

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可

預 金 を 確 保 しつつ 資 金 調 達 手 段 も 確 保 する 収 益 性 を 示 す 指 標 として 営 業 利 益 率 を 採 用 し 営 業 利 益 率 の 目 安 となる 数 値 を 公 表 する 株 主 の 皆 様 への 還 元 については 持 続 的 な 成 長 による 配 当 可 ミスミグループ コーポレートガバナンス 基 本 方 針 本 基 本 方 針 は ミスミグループ( 以 下 当 社 グループ という)のコーポレートガバナン スに 関 する 基 本 的 な 考 え 方 を 定 めるものである 1. コーポレートガバナンスの 原 則 (1) 当 社 グループのコーポレートガバナンスは 当 社 グループの 持 続 的 な 成 長 と 中 長 期 的 な 企 業 価 値 の

More information

(Microsoft Word - \212\356\226{\225\373\220j2014220_\217C\220\263\201j.doc)

(Microsoft Word - \212\356\226{\225\373\220j2014220_\217C\220\263\201j.doc) 平 成 26 年 2 月 20 日 日 本 医 師 従 業 員 国 民 年 金 基 金 年 金 資 産 運 用 の 基 本 方 針 日 本 医 師 従 業 員 国 民 年 金 基 金 ( 以 下 当 基 金 という)は 年 金 給 付 等 積 立 金 ( 以 下 年 金 資 産 という)の 運 用 にあたり 以 下 の 基 本 方 針 を 定 める 当 基 金 から 年 金 資 産 の 管 理 又

More information

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定 射 水 市 建 設 工 事 施 行 に 関 する 工 事 成 績 評 定 要 領 平 成 8 年 3 月 7 告 示 第 44 号 ( 目 的 ) 第 条 この 要 領 は 射 水 市 が 所 掌 する 工 事 の 成 績 評 定 ( 以 下 評 定 という )に 必 要 な 事 項 を 定 め 公 正 かつ 的 確 な 評 定 を 行 うことにより もって 請 負 業 者 の 選 定 及 び 指

More information

●幼児教育振興法案

●幼児教育振興法案 第 一 九 〇 回 衆 第 五 〇 号 幼 児 教 育 振 興 法 案 目 次 前 文 第 一 章 総 則 ( 第 一 条 - 第 八 条 ) 第 二 章 幼 児 教 育 振 興 基 本 方 針 等 ( 第 九 条 第 十 条 ) 第 三 章 基 本 的 施 策 ( 第 十 一 条 - 第 十 七 条 ) 附 則 幼 児 期 において 人 は その 保 護 者 や 周 囲 の 大 人 との 愛 情

More information

01.活性化計画(上大久保)

01.活性化計画(上大久保) 別 記 様 式 第 1 号 ( 第 四 関 係 ) か み お お く ぼ 上 大 久 保 ち く 地 区 か っ せ い か 活 性 化 け い か く 計 画 栃 木 県 鹿 沼 市 平 成 26 年 2 月 1 活 性 化 計 画 の 目 標 及 び 計 画 期 間 計 画 の 名 称 上 大 久 保 地 区 活 性 化 計 画 都 道 府 県 名 栃 木 県 市 町 村 名 鹿 沼 市 地

More information

<4D6963726F736F667420576F7264202D208DE3905F8D8291AC8B5A8CA48A948EAE89EF8ED0208BC696B18BA492CA8E64976C8F91816995BD90AC3237944E378C8E89FC92F994C5816A>

<4D6963726F736F667420576F7264202D208DE3905F8D8291AC8B5A8CA48A948EAE89EF8ED0208BC696B18BA492CA8E64976C8F91816995BD90AC3237944E378C8E89FC92F994C5816A> 第 1 編 共 通 業 務 共 通 仕 様 書 平 成 27 年 7 月 第 1 章 一 般 1.1 目 的 業 務 共 通 仕 様 書 ( 以 下 技 研 仕 様 書 という )は 阪 神 高 速 技 研 株 式 会 社 ( 以 下 会 社 という )が 発 注 する 調 査 検 討 資 料 作 成 設 計 補 助 測 量 作 業 その 他 こ れらに 類 する 業 務 に 係 る 業 務 請 負

More information

1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル( 案 )の 構 成 構 成 記 載 内 容 第 1 章 はじめに 本 マニュアルの 目 的 記 載 内 容 について 説 明 しています 第 2 章 第 3 章 第 4 章 第 5 章 第 6 章 林 地

1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル( 案 )の 構 成 構 成 記 載 内 容 第 1 章 はじめに 本 マニュアルの 目 的 記 載 内 容 について 説 明 しています 第 2 章 第 3 章 第 4 章 第 5 章 第 6 章 林 地 ( 資 料 3) 林 地 台 帳 及 び 地 図 整 備 マニュアル( 案 ) 概 要 本 資 料 は 現 時 点 での 検 討 状 況 を 基 に 作 成 したものであり 今 後 事 務 レベルの 検 討 会 等 を 経 て 成 案 を 得 ることとしてい ます 平 成 28 年 7 月 林 野 庁 計 画 課 1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル(

More information

1 1 H Li Be Na M g B A l C S i N P O S F He N Cl A e K Ca S c T i V C Mn Fe Co Ni Cu Zn Ga Ge As Se B K Rb S Y Z Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb T e

1 1 H Li Be Na M g B A l C S i N P O S F He N Cl A e K Ca S c T i V C Mn Fe Co Ni Cu Zn Ga Ge As Se B K Rb S Y Z Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb T e No. 1 1 1 H Li Be Na M g B A l C S i N P O S F He N Cl A e K Ca S c T i V C Mn Fe Co Ni Cu Zn Ga Ge As Se B K Rb S Y Z Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb T e I X e Cs Ba F Ra Hf Ta W Re Os I Rf Db Sg Bh

More information

Taro-学校だより学力調査号.jtd

Taro-学校だより学力調査号.jtd 第 5 号 ( H2 7. 1 1. 1 7 ) 舞 鶴 小 学 校 ま い づ る 発 行 人 大 澤 正 史 本 校 の 学 習 状 況 に つ い て ( 今 年 度 6 年 生 が 実 施 し た 全 国 学 力 学 習 状 況 調 査 の 結 果 ) 今 年 度 の 全 国 学 A1 2007 年 よ り 日 本 全 国 の 小 中 学 校 の 最 高 学 年 ( 小 学 6 年 力 学

More information

(2)大学・学部・研究科等の理念・目的が、大学構成員(教職員および学生)に周知され、社会に公表されているか

(2)大学・学部・研究科等の理念・目的が、大学構成員(教職員および学生)に周知され、社会に公表されているか 平 成 23 年 度 自 己 報 告 書 1 理 念 目 的 (1) 大 学 学 部 研 究 科 等 の 理 念 目 的 は 適 切 に 設 定 されているか 平 成 19 年 6 月 に の 目 標 として 大 学 の 発 展 に 貢 献 する 力 のある 組 織 とい う 共 通 の 目 標 を 掲 げ この 目 標 を 常 に 念 頭 に 置 きながら 日 々の 業 務 に 当 たっている さらに

More information

別 紙 第 号 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 議 案 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 を 次 のように 定 める 平 成 26 年 2 月 日 提 出 高 知 県 知 事 尾

別 紙 第 号 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 議 案 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 を 次 のように 定 める 平 成 26 年 2 月 日 提 出 高 知 県 知 事 尾 付 議 第 3 号 高 知 県 立 学 校 授 業 料 等 徴 収 条 例 の 一 部 を 改 正 する 条 例 議 案 に 係 る 意 見 聴 取 に 関 する 議 案 平 成 26 年 2 月 高 知 県 議 会 定 例 会 提 出 予 定 の 条 例 議 案 に 係 る 地 方 教 育 行 政 の 組 織 及 び 運 営 に 関 する 法 律 ( 昭 和 31 年 法 律 第 162 号 )

More information

<4D6963726F736F667420506F776572506F696E74202D208CE38AFA8D8297EE8ED288E397C390A7937882CC8A549776816998568AE98EBA8DEC90AC816A2E707074>

<4D6963726F736F667420506F776572506F696E74202D208CE38AFA8D8297EE8ED288E397C390A7937882CC8A549776816998568AE98EBA8DEC90AC816A2E707074> 第 1 回 社 会 保 障 審 議 会 後 期 高 齢 者 医 療 の 在 り 方 に 関 する 特 別 部 会 平 成 1 8 年 1 0 月 5 日 資 料 2-1 後 期 高 齢 者 医 療 制 度 の 概 要 現 行 の 医 療 保 険 制 度 の 基 本 構 造 老 人 保 健 制 度 75 歳 65 歳 国 保 老 人 保 健 制 度 退 職 者 医 療 制 度 75 歳 以 上 の 人

More information

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施 北 部 大 阪 都 市 画 事 業 JR 高 槻 駅 北 東 土 区 画 整 理 事 業 事 業 画 書 高 槻 市 JR 高 槻 駅 北 東 土 区 画 整 理 組 合 目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行

More information

総合評価点算定基準(簡易型建築・電気・管工事)

総合評価点算定基準(簡易型建築・電気・管工事) 別 記 3 総 合 評 価 点 算 定 基 準 ( 簡 易 型 建 築 電 気 管 工 事 ) 1 総 合 評 価 点 の 算 定 方 法 総 合 評 価 点 は 以 下 すべてを 満 たす 者 について 次 の 算 式 により 算 定 する 1 入 札 書 が 無 効 でない 者 2 予 定 価 格 の 制 限 の 範 囲 内 の 者 ( 失 格 となった 者 を 除 く ) 3 施 工 計 画

More information

192 1925 193 1935 194 1945 195 1955 196 1965 197 1975 198 1985 199 1995 2 25 21 215 22 225 23 235 24 245 25 255 26 Ⅰ 人 口 の 現 状 分 析 Ⅰ 人 口 の 現 状 分 析 1 人

192 1925 193 1935 194 1945 195 1955 196 1965 197 1975 198 1985 199 1995 2 25 21 215 22 225 23 235 24 245 25 255 26 Ⅰ 人 口 の 現 状 分 析 Ⅰ 人 口 の 現 状 分 析 1 人 北 海 道 人 口 ビジョン 骨 子 全 体 構 成 Ⅰ 人 口 の 現 状 分 析 1 人 口 動 向 分 析 (1) 時 系 列 による 人 口 動 向 分 析 1 年 齢 3 区 分 別 人 口 の 推 移 と 将 来 推 計 2 自 然 増 減 ( 出 生 死 亡 )の 推 移 (ⅰ) 出 生 数 死 亡 数 の 推 移 (ⅱ) 出 生 数 合 計 特 殊 出 生 率 の 推 移 3 社 会

More information

学校安全の推進に関する計画の取組事例

学校安全の推進に関する計画の取組事例 学 校 安 全 の 推 進 に 関 する 計 画 と Ⅱ 学 校 安 全 を 推 進 するための 方 策 項 目 1. 安 全 に 関 する 教 育 の 充 実 方 策 (1) 安 全 教 育 にお ける 主 体 的 に 行 動 する 態 度 や 共 助 公 助 の 視 点 (2) 教 育 手 法 の 改 善 被 災 地 へのボランティア 活 動 を 行 う 学 校 等 の 生 きる 力 をはぐくむ

More information

1 平 成 27 年 度 土 地 評 価 の 概 要 について 1 固 定 資 産 税 の 評 価 替 えとは 地 価 等 の 変 動 に 伴 う 固 定 資 産 の 資 産 価 値 の 変 動 に 応 じ その 価 格 を 適 正 で 均 衡 のとれたものに 見 直 す 制 度 である 3 年 ご

1 平 成 27 年 度 土 地 評 価 の 概 要 について 1 固 定 資 産 税 の 評 価 替 えとは 地 価 等 の 変 動 に 伴 う 固 定 資 産 の 資 産 価 値 の 変 動 に 応 じ その 価 格 を 適 正 で 均 衡 のとれたものに 見 直 す 制 度 である 3 年 ご 平 成 27 年 度 固 定 資 産 ( 土 地 ) 評 価 替 えについて - 県 内 市 町 の 基 準 宅 地 の 評 価 額 - 市 町 名 区 分 所 在 地 ( 参 考 ) 27 年 度 27 年 度 24 年 度 修 正 率 評 価 額 評 価 額 評 価 額 変 動 率 H26.1.1~ H26.1.1 基 準 H26.7.1 修 正 H23.7.1 修 正 B/C-1 H26.7.1

More information

6 構 造 等 コンクリートブロック 造 平 屋 建 て4 戸 長 屋 16 棟 64 戸 建 築 年 1 戸 当 床 面 積 棟 数 住 戸 改 善 後 床 面 積 昭 和 42 年 36.00m2 4 50.40m2 昭 和 43 年 36.50m2 3 50.90m2 昭 和 44 年 36.

6 構 造 等 コンクリートブロック 造 平 屋 建 て4 戸 長 屋 16 棟 64 戸 建 築 年 1 戸 当 床 面 積 棟 数 住 戸 改 善 後 床 面 積 昭 和 42 年 36.00m2 4 50.40m2 昭 和 43 年 36.50m2 3 50.90m2 昭 和 44 年 36. 市 営 住 宅 建 替 えPFI 等 導 入 可 能 性 調 査 業 務 委 託 仕 様 書 1. 適 用 範 囲 本 仕 様 書 は 十 和 田 市 ( 以 下 発 注 者 という )が 実 施 する 市 営 住 宅 建 替 えPFI 等 導 入 可 能 性 調 査 業 務 委 託 ( 以 下 本 業 務 委 託 という )に 適 用 するものとする 2. 業 務 目 的 十 和 田 市 営 住

More information

スライド 1

スライド 1 835.0 840.0 810.0 900.0 800.0 700.0 706.4 751.5 722.2 739.8 771.2 766.8 784.3 774.3 754.8 739.5 731.3 732.8 736.3 653.7 600.0 556.0 602.2 500.0 400.0 H1 H2 H3 H4 H5 H6 H7 H8 H9 H10 H11 H12 H13 H14 H15

More information

1

1 精 華 町 個 人 情 報 保 護 条 例 改 正 に 向 けての 考 え 方 ( 案 ) 平 成 27 年 4 月 精 華 町 0 1 目 次 1 個 人 情 報 保 護 に 関 する 法 体 系 と 番 号 法 における 特 定 個 人 情 報 の 保 護 措 置... 1 2 番 号 法 と 精 華 町 個 人 情 報 保 護 条 例 における 個 人 情 報 の 定 義 上 の 差 異...

More information

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ

Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果 課 題 を 検 証 し その 改 善 を 図 るもに 学 校 におけ Ⅰ 調 査 の 概 要 Ⅱ 札 幌 の 子 どもの 学 力 学 習 意 欲 等 について Ⅲ 学 力 調 査 の 結 果 概 要 及 び 改 善 の 方 向 等 について Ⅰ 調 査 の 概 要 1 目 的 義 務 教 育 の 機 会 均 等 その 水 準 の 維 持 向 上 の 観 点 から 的 な 児 童 生 徒 の 学 力 や 学 習 状 況 を 把 握 分 析 し 教 育 施 策 の 成 果

More information

(6) 事 務 局 職 場 積 立 NISAの 運 営 に 係 る 以 下 の 事 務 等 を 担 当 する 事 業 主 等 の 組 織 ( 当 該 事 務 を 代 行 する 組 織 を 含 む )をいう イ 利 用 者 からの 諸 届 出 受 付 事 務 ロ 利 用 者 への 諸 連 絡 事 務

(6) 事 務 局 職 場 積 立 NISAの 運 営 に 係 る 以 下 の 事 務 等 を 担 当 する 事 業 主 等 の 組 織 ( 当 該 事 務 を 代 行 する 組 織 を 含 む )をいう イ 利 用 者 からの 諸 届 出 受 付 事 務 ロ 利 用 者 への 諸 連 絡 事 務 職 場 積 立 NISAに 関 するガイドライン 第 1 章 総 則 1. 制 定 の 趣 旨 NISA 推 進 連 絡 協 議 会 は NISA 推 進 連 絡 協 議 会 に 参 加 する 業 界 団 体 等 に 属 する 金 融 商 品 取 引 業 者 及 び 金 融 機 関 等 ( 以 下 NISA 取 扱 業 者 という )が 取 り 扱 う 職 場 積 立 NISAについて 適 正 かつ

More information

(2) 地 域 の 実 情 に 応 じた 子 ども 子 育 て 支 援 の 充 実 保 育 の 必 要 な 子 どものいる 家 庭 だけでなく 地 域 の 実 情 に 応 じた 子 ども 子 育 て 支 援 の 充 実 のために 利 用 者 支 援 事 業 や 地 域 子 育 て 支 援 事 業 な

(2) 地 域 の 実 情 に 応 じた 子 ども 子 育 て 支 援 の 充 実 保 育 の 必 要 な 子 どものいる 家 庭 だけでなく 地 域 の 実 情 に 応 じた 子 ども 子 育 て 支 援 の 充 実 のために 利 用 者 支 援 事 業 や 地 域 子 育 て 支 援 事 業 な 子 ども 子 育 て 支 援 新 制 度 に 係 る 基 準 ( 案 )の 概 要 1. 子 ども 子 育 て 新 制 度 について 平 成 24 年 8 月 幼 児 期 の 学 校 教 育 保 育 の 総 合 的 な 提 供 保 育 の 量 的 拡 大 確 保 地 域 の 子 ども 子 育 て 支 援 の 充 実 などを 目 的 に 子 ども 子 育 て3 法 (1 子 ども 子 育 て 支 援

More information

大田市固定資産台帳整備業務(プロポーザル審査要項)

大田市固定資産台帳整備業務(プロポーザル審査要項) 大 田 市 整 備 業 務 プロポーザル 審 査 要 項 大 田 市 業 務 プロポーザルの 審 査 は 提 案 書 等 を 下 記 のとおり 審 査 評 価 するものとす る. 審 査 の 対 象 事 業 者 審 査 の 対 象 事 業 者 は 次 の()から()に 掲 げる 条 件 をすべて 満 たし 一 つでも 満 たない 場 合 は 審 査 の 対 象 事 業 者 に 該 当 しないものとする

More information

平成17年度高知県県産材利用推進事業費補助金交付要綱

平成17年度高知県県産材利用推進事業費補助金交付要綱 高 知 県 副 業 型 林 家 育 成 支 援 事 業 募 集 要 領 第 1 趣 旨 この 要 領 は 高 知 県 副 業 型 林 家 育 成 支 援 事 業 費 補 助 金 交 付 要 綱 に 基 づき 当 該 補 助 金 の 交 付 の 対 象 となる 事 業 者 を 公 募 して 選 定 する 手 続 等 当 該 事 業 の 円 滑 な 実 施 を 図 るために 必 要 な 事 項 を 定

More information

個人住民税徴収対策会議

個人住民税徴収対策会議 個 人 住 民 税 徴 収 対 策 会 議 平 成 26 年 8 月 7 日 税 収 に 占 める 個 人 住 民 税 の 割 合 個 人 住 民 税 は 県 市 町 村 いずれも 税 収 の 約 3 割 を 占 めており 重 要 な 財 源 となっている 岡 山 県 現 年 繰 越 合 計 市 町 村 その 他 18.5% 自 動 車 税 13.1% 個 人 県 民 税 29.6% その 他 15.0%

More information

様式(補助金)

様式(補助金) 別 添 1 提 案 書 の 様 式 1. 提 案 書 は 次 頁 以 下 の 記 載 例 に 従 って 記 入 して 下 さい 2. 用 紙 は A4 版 を 利 用 し 左 とじにして 下 さい 3. 提 案 書 は 9 部 ( 正 1 部 副 ( 正 のコピー)8 部 )を 提 出 して 下 さい 4. 提 案 書 は それぞれA4フラットファイルに 綴 じた 上 で 提 出 してください 5.

More information

経 常 収 支 差 引 額 等 の 状 況 平 成 26 年 度 予 算 早 期 集 計 平 成 25 年 度 予 算 対 前 年 度 比 較 経 常 収 支 差 引 額 3,689 億 円 4,597 億 円 908 億 円 減 少 赤 字 組 合 数 1,114 組 合 1,180 組 合 66

経 常 収 支 差 引 額 等 の 状 況 平 成 26 年 度 予 算 早 期 集 計 平 成 25 年 度 予 算 対 前 年 度 比 較 経 常 収 支 差 引 額 3,689 億 円 4,597 億 円 908 億 円 減 少 赤 字 組 合 数 1,114 組 合 1,180 組 合 66 平 成 26 年 度 健 保 組 合 予 算 早 期 集 計 結 果 の 概 要 平 成 26 年 4 月 18 日 健 康 保 険 組 合 連 合 会 問 い 合 わせ 先 : 健 保 連 企 画 部 調 査 分 析 グループ :03-3403-0926 本 概 要 は 平 成 26 年 度 における 健 保 組 合 の 財 政 状 況 等 を 早 期 に 把 握 するため 26 年 度 予 算

More information

の と す る (1) 防 犯 カ メ ラ を 購 入 し 設 置 ( 新 設 又 は 増 設 に 限 る ) す る こ と (2) 設 置 す る 防 犯 カ メ ラ は 新 設 又 は 既 設 の 録 画 機 と 接 続 す る こ と た だ し 録 画 機 能 付 防 犯 カ メ ラ は

の と す る (1) 防 犯 カ メ ラ を 購 入 し 設 置 ( 新 設 又 は 増 設 に 限 る ) す る こ と (2) 設 置 す る 防 犯 カ メ ラ は 新 設 又 は 既 設 の 録 画 機 と 接 続 す る こ と た だ し 録 画 機 能 付 防 犯 カ メ ラ は 小 牧 市 地 域 防 犯 カ メ ラ 等 設 置 補 助 金 交 付 要 綱 平 成 2 8 年 3 月 2 2 日 2 7 小 市 安 第 7 5 7 号 ( 通 則 ) 第 1 条 小 牧 市 地 域 防 犯 カ メ ラ 等 設 置 補 助 金 ( 以 下 補 助 金 と い う )の 交 付 に つ い て は 市 費 補 助 金 等 の 予 算 執 行 に 関 す る 規 則 ( 昭 和

More information

<6D33335F976C8EAE825081698CF6955C9770816A2E786C73>

<6D33335F976C8EAE825081698CF6955C9770816A2E786C73> 国 立 大 学 法 人 新 潟 大 学 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 18 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 本 学 が 定 める 役 員 に 支 給 する 期 末 特 別 手 当 (ボーナス)において, 役 員 の 本 給

More information

Microsoft Word - 交野市産業振興基本計画 20140320.doc

Microsoft Word - 交野市産業振興基本計画 20140320.doc 資 料 基 本 条 例 P36 規 則 P38 委 員 名 簿 P39 基 本 計 画 の 策 定 に 係 る 経 過 P40-35 - 基 本 条 例 ( 目 的 ) 第 1 条 この 条 例 は 交 野 の 自 然 環 境 歴 史 文 化 市 民 力 等 の 地 域 資 源 を 有 効 活 用 した 地 域 産 業 の 振 興 についての 基 本 となる 事 項 を 定 め 市 事 業 者 及

More information

(Microsoft Word - \203A \225\345\217W\227v\227\314 .doc)

(Microsoft Word - \203A  \225\345\217W\227v\227\314 .doc) ま ち づ く り 推 進 の た め の ア ン ケ ー ト 調 査 及 び 分 析 業 務 委 託 プ ロ ポ ー ザ ル 募 集 要 領 1 趣 旨 本 要 領 は, ま ち づ く り 推 進 の た め の ア ン ケ ー ト 調 査 及 び 分 析 業 務 委 託 の 受 託 者 を 特 定 す る た め, 企 画 提 案 型 プ ロ ポ ー ザ ル 方 式 ( 以 下 プ ロ ポ ー

More information

<4D6963726F736F667420576F7264202D20313431323235817988C482C682EA817A89BA90BF8E7793B1834B8343836883898343839381698A4F8D91906C8DDE8A889770816A>

<4D6963726F736F667420576F7264202D20313431323235817988C482C682EA817A89BA90BF8E7793B1834B8343836883898343839381698A4F8D91906C8DDE8A889770816A> 外 国 人 建 設 就 労 者 受 入 事 業 に 関 する 下 請 指 導 ガイドライン 第 1 趣 旨 復 興 事 業 の 更 なる 加 速 を 図 りつつ 2020 年 オリンピック パラリンピック 東 京 大 会 の 関 連 施 設 整 備 等 による 一 時 的 な 建 設 需 要 の 増 大 に 対 応 するため 2020 年 度 までの 緊 急 かつ 時 限 的 な 措 置 として 国

More information

2 一 般 行 政 職 給 料 表 の 状 況 ( 平 成 24 年 4 月 1 日 現 在 ) 1 級 2 級 3 級 4 級 5 級 ( 単 位 : ) 6 級 7 級 8 級 1 号 給 の 給 料 月 額 135,6 185,8 222,9 261,9 289,2 32,6 366,2 41

2 一 般 行 政 職 給 料 表 の 状 況 ( 平 成 24 年 4 月 1 日 現 在 ) 1 級 2 級 3 級 4 級 5 級 ( 単 位 : ) 6 級 7 級 8 級 1 号 給 の 給 料 月 額 135,6 185,8 222,9 261,9 289,2 32,6 366,2 41 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (23 年 度 末 ) A B B/A 22 年 度 の 件 費 率 23 年 度 93,8 33,985,381 9,329 5,769,881 17. 17.8 (2) 職 員 給 与 費 の

More information

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 例 規 整 備 * 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 例 規 整 備 公 布 年 月 日 番 号 平 成 24 年

More information

参 考 様 式 再 就 者 から 依 頼 等 を 受 けた 場 合 の 届 出 公 平 委 員 会 委 員 長 様 年 月 日 地 方 公 務 員 法 ( 昭 和 25 年 法 律 第 261 号 ) 第 38 条 の2 第 7 項 規 定 に 基 づき 下 記 のとおり 届 出 を します この

参 考 様 式 再 就 者 から 依 頼 等 を 受 けた 場 合 の 届 出 公 平 委 員 会 委 員 長 様 年 月 日 地 方 公 務 員 法 ( 昭 和 25 年 法 律 第 261 号 ) 第 38 条 の2 第 7 項 規 定 に 基 づき 下 記 のとおり 届 出 を します この 現 員 退 された 元 地 方 公 務 員 の 退 管 理 の 適 正 の 確 保 について 員 のかたは ご 留 意 願 います 地 方 公 務 員 法 及 び 地 方 独 立 行 政 法 人 法 の 一 部 を 改 正 する 法 律 ( 平 成 26 年 法 律 第 34 号 )が 公 布 され 地 方 公 共 団 体 における 退 管 理 の 確 保 にため 以 下 のことについて 規 定 され

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene.

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene. 平 成 23 年 3 月 期 第 1 四 半 期 決 算 短 信 日 本 基 準 ( 連 結 ) 平 成 22 年 8 月 11 日 上 場 会 社 名 松 井 建 設 株 式 会 社 上 場 取 引 所 東 コ ー ド 番 号 1810 URL http://www.matsui-ken.co.jp/ 代 表 者 ( 役 職 名 ) 取 締 役 社 長 ( 氏 名 ) 松 井 隆 弘 ( 役 職

More information

の 購 入 費 又 は 賃 借 料 (2) 専 用 ポール 等 機 器 の 設 置 工 事 費 (3) ケーブル 設 置 工 事 費 (4) 防 犯 カメラの 設 置 を 示 す 看 板 等 の 設 置 費 (5) その 他 設 置 に 必 要 な 経 費 ( 補 助 金 の 額 ) 第 6 条 補

の 購 入 費 又 は 賃 借 料 (2) 専 用 ポール 等 機 器 の 設 置 工 事 費 (3) ケーブル 設 置 工 事 費 (4) 防 犯 カメラの 設 置 を 示 す 看 板 等 の 設 置 費 (5) その 他 設 置 に 必 要 な 経 費 ( 補 助 金 の 額 ) 第 6 条 補 美 作 市 防 犯 カメラ 設 置 支 援 事 業 補 助 金 交 付 要 綱 ( 趣 旨 ) 第 1 条 この 告 示 は 地 域 の 防 犯 活 動 を 推 進 し 安 全 安 心 のまちづくりの 実 現 を 図 るため 犯 罪 等 の 防 止 を 目 的 に 防 犯 カメラの 設 置 を 行 う 住 民 団 体 に 対 し 予 算 の 範 囲 内 において その 設 置 に 要 する 経 費

More information

頸 がん 予 防 措 置 の 実 施 の 推 進 のために 講 ずる 具 体 的 な 施 策 等 について 定 めることにより 子 宮 頸 がんの 確 実 な 予 防 を 図 ることを 目 的 とする ( 定 義 ) 第 二 条 この 法 律 において 子 宮 頸 がん 予 防 措 置 とは 子 宮

頸 がん 予 防 措 置 の 実 施 の 推 進 のために 講 ずる 具 体 的 な 施 策 等 について 定 めることにより 子 宮 頸 がんの 確 実 な 予 防 を 図 ることを 目 的 とする ( 定 義 ) 第 二 条 この 法 律 において 子 宮 頸 がん 予 防 措 置 とは 子 宮 子 宮 頸 がん 予 防 措 置 の 実 施 の 推 進 に 関 する 法 律 ( 案 ) 目 次 第 一 章 総 則 ( 第 一 条 第 二 条 ) 第 二 章 子 宮 頸 がん 予 防 方 針 等 ( 第 三 条 第 六 条 ) 第 三 章 子 宮 頸 がん 予 防 措 置 の 実 施 の 推 進 に 関 する 具 体 的 な 施 策 第 一 節 子 宮 頸 がん 及 び 子 宮 頸 がんの 予

More information

Microsoft Word 印刷ver 本編最終no1(黒字化) .doc

Microsoft Word 印刷ver 本編最終no1(黒字化) .doc 3 目 標 使 用 年 数 の 設 定 3-1. 耐 用 年 数 と 目 標 使 用 年 数 の 考 え 方 1. 目 標 使 用 年 数 の 考 え 方 (1) 台 東 区 施 設 白 書 ( 平 成 26 年 7 月 ) における 使 用 年 数 ( 更 新 周 期 ) 台 東 区 施 設 白 書 ( 平 成 26 年 7 月 ) においては 国 が 示 す 試 算 基 準 ( 地 方 公 共

More information

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A>

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A> 恵 庭 市 教 員 住 宅 のあり 方 基 本 方 針 平 成 25 年 2 月 恵 庭 市 教 育 委 員 会 目 次 1. 教 員 住 宅 の 現 状 (1) 教 員 住 宅 の 役 割 1 (2) 教 員 住 宅 の 実 態 1 (3) 環 境 の 変 化 1 (4) 教 員 の 住 宅 事 情 1 2 2. 基 本 方 針 の 目 的 2 3.あり 方 検 討 会 議 の 答 申 内 容

More information

公 営 企 業 職 員 の 状 況 1 水 道 事 業 1 職 員 給 与 費 の 状 況 ア 決 算 区 分 総 費 用 純 利 益 職 員 給 与 費 総 費 用 に 占 める ( 参 考 ) 職 員 給 与 費 比 率 22 年 度 の 総 費 用 に 占 A B B/A める 職 員 給 与

公 営 企 業 職 員 の 状 況 1 水 道 事 業 1 職 員 給 与 費 の 状 況 ア 決 算 区 分 総 費 用 純 利 益 職 員 給 与 費 総 費 用 に 占 める ( 参 考 ) 職 員 給 与 費 比 率 22 年 度 の 総 費 用 に 占 A B B/A める 職 員 給 与 電 子 版 職 員 給 与 のあらまし < 公 営 企 業 版 > 平 成 24 年 度 目 次 公 営 企 業 職 員 の 状 況 1 水 道 事 業 2 工 業 用 水 道 事 業 3 下 水 道 事 業 4 自 動 車 運 送 事 業 5 高 速 度 鉄 道 事 業 6 病 院 事 業 < 問 い 合 わせ 先 > 上 下 水 道 局 総 務 部 総 務 課 交 通 局 総 務 部 労 務 課

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 株 式 会 社 化 に 伴 う から 特 定 の 員 への 株 式 譲 渡 に 係 る 課 税 関 係 と 手 続 きについて 平 成 20 年 2 月 商 工 中 金 当 資 料 は 貴 において 本 件 取 引 に 関 する 検 討 をされるに 際 して ご 参 考 のための 情 報 提 供 のみを 目 的 として 国 税 庁 の 確 認 を 受 けた 内 容 に 基 づき 商 工 中 金 が

More information

企 業 の 採 用 予 定 数 採 用 予 定 数 は 増 やす と 回 答 した 企 業 が 減 らす と 回 答 した 企 業 を3 年 連 続 上 回 り 採 用 は 増 加 傾 向 にある 特 に 非 上 場 非 製 造 において 採 用 数 を 増 やす と 回 答 する 割 合 が 大

企 業 の 採 用 予 定 数 採 用 予 定 数 は 増 やす と 回 答 した 企 業 が 減 らす と 回 答 した 企 業 を3 年 連 続 上 回 り 採 用 は 増 加 傾 向 にある 特 に 非 上 場 非 製 造 において 採 用 数 を 増 やす と 回 答 する 割 合 が 大 企 業 の 採 用 動 向 1. 企 業 の 採 用 予 定 数 P62 20 マイナビ 企 業 新 卒 採 用 予 定 調 査 より 採 用 予 定 数 増 減 を 学 生 属 性 別 大 学 院 ( 文 理 ) 大 学 ( 文 理 ) 短 期 大 学 専 門 学 校 高 等 学 校 毎 に 集 計 大 学 院 大 学 については 上 場 非 上 場 製 造 非 製 造 区 分 でも 集 計 また

More information

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている 総 合 的

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている 総 合 的 矢 掛 町 の 給 与 定 員 管 理 等 について 総 括 () 件 費 の 状 況 ( 普 通 会 計 決 算 ) 区 分 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (25 年 度 末 ) A B B/A 24 年 度 の 件 費 率 25 年 度 5,055 千 7,78,45 千 48,9 千 877,259.3 2.8 (2) 職 員 給

More information

<4D6963726F736F667420506F776572506F696E74202D203034308350815B83588358835E8366834228926E88E68C9A90DD8BC65F928695944832362E332983418343835683938DC58F4994C52E70707478>

<4D6963726F736F667420506F776572506F696E74202D203034308350815B83588358835E8366834228926E88E68C9A90DD8BC65F928695944832362E332983418343835683938DC58F4994C52E70707478> CI-NET 導 入 事 例 ( 地 域 総 合 建 設 企 業 中 部 ) 一 般 財 団 法 人 建 設 業 振 興 基 金 情 報 化 評 議 会 平 成 26 年 3 月 Copyright 1997.6-,CI-NET All rights reserved. 0 本 事 例 の 特 徴 コンプライアンスの 向 上 を 目 的 とした 電 子 化 への 取 り 組 み CI-NETの 導

More information

った 場 合 など 監 事 の 任 務 懈 怠 の 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 減 算 する (8) 役 員 の 法 人 に 対 する 特 段 の 貢 献 が 認 められる 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 加 算 することができる

った 場 合 など 監 事 の 任 務 懈 怠 の 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 減 算 する (8) 役 員 の 法 人 に 対 する 特 段 の 貢 献 が 認 められる 場 合 は その 程 度 に 応 じて 業 績 勘 案 率 を 加 算 することができる 独 立 行 政 法 人 の 役 員 の 退 職 金 に 係 る 業 績 勘 案 率 の 算 定 ルールについて 平 成 27 年 5 月 25 日 総 務 大 臣 決 定 独 立 行 政 法 人 特 殊 法 人 及 び 認 可 法 人 の 役 員 の 退 職 金 について ( 平 成 27 年 3 月 24 日 閣 議 決 定 以 下 閣 議 決 定 という )に 基 づき 独 立 行 政 法 人

More information

第1章 財務諸表

第1章 財務諸表 財 務 報 告 論 2009( 太 田 浩 司 ) Lecture Note 10 1 第 10 章 損 益 会 計 1. 損 益 計 算 書 の 基 本 原 則 1.1 発 生 主 義 発 生 主 義 とは 費 用 収 益 の 計 上 時 点 を 現 金 収 支 の 有 無 にかかわらず その 発 生 の 事 実 に 基 づいて 行 うことを 要 求 する 原 則 である しかし 収 益 を 生

More information

事 業 概 要 利 用 時 間 休 館 日 使 用 方 法 使 用 料 施 設 を 取 り 巻 く 状 況 や 課 題 < 松 山 駅 前 駐 輪 場 > JR 松 山 駅 を 利 用 する 人 の 自 転 車 原 付 を 収 容 する 施 設 として 設 置 され 有 料 駐 輪 場 の 利 用

事 業 概 要 利 用 時 間 休 館 日 使 用 方 法 使 用 料 施 設 を 取 り 巻 く 状 況 や 課 題 < 松 山 駅 前 駐 輪 場 > JR 松 山 駅 を 利 用 する 人 の 自 転 車 原 付 を 収 容 する 施 設 として 設 置 され 有 料 駐 輪 場 の 利 用 駐 輪 場 ( 都 市 整 備 部 総 合 交 通 課 所 管 ) 市 が 設 置 している 有 料 駐 輪 場 は 市 内 に 2か 所 あります 松 山 駅 前 駐 輪 場 基 本 情 報 施 設 名 所 在 地 敷 地 面 積 構 造 階 層 延 べ 面 積 建 築 年 管 理 形 態 敷 地 の 状 態 松 山 駅 前 駐 輪 場 三 番 町 八 丁 目 364-6 681.25 m2 軽

More information

続 に 基 づく 一 般 競 争 ( 指 名 競 争 ) 参 加 資 格 の 再 認 定 を 受 けていること ) c) 会 社 更 生 法 に 基 づき 更 生 手 続 開 始 の 申 立 てがなされている 者 又 は 民 事 再 生 法 に 基 づき 再 生 手 続 開 始 の 申 立 てがなさ

続 に 基 づく 一 般 競 争 ( 指 名 競 争 ) 参 加 資 格 の 再 認 定 を 受 けていること ) c) 会 社 更 生 法 に 基 づき 更 生 手 続 開 始 の 申 立 てがなされている 者 又 は 民 事 再 生 法 に 基 づき 再 生 手 続 開 始 の 申 立 てがなさ 簡 易 公 募 型 競 争 入 札 方 式 ( 総 合 評 価 落 札 方 式 )に 係 る 手 続 開 始 の 公 示 次 のとおり 指 名 競 争 入 札 参 加 者 の 選 定 の 手 続 を 開 始 します 平 成 28 年 9 月 20 日 分 任 支 出 負 担 行 為 担 当 官 東 北 地 方 整 備 局 秋 田 河 川 国 道 事 務 所 長 渡 邊 政 義 1. 業 務 概 要

More information

公 的 年 金 制 度 について 制 度 の 持 続 可 能 性 を 高 め 将 来 の 世 代 の 給 付 水 準 の 確 保 等 を 図 るため 持 続 可 能 な 社 会 保 障 制 度 の 確 立 を 図 るための 改 革 の 推 進 に 関 する 法 律 に 基 づく 社 会 経 済 情

公 的 年 金 制 度 について 制 度 の 持 続 可 能 性 を 高 め 将 来 の 世 代 の 給 付 水 準 の 確 保 等 を 図 るため 持 続 可 能 な 社 会 保 障 制 度 の 確 立 を 図 るための 改 革 の 推 進 に 関 する 法 律 に 基 づく 社 会 経 済 情 資 料 2-1 公 的 年 金 制 度 の 持 続 可 能 性 の 向 上 を 図 るための 国 民 年 金 法 等 の 一 部 を 改 正 する 法 律 案 の 概 要 厚 生 労 働 省 年 金 局 平 成 28 年 4 月 21 日 公 的 年 金 制 度 について 制 度 の 持 続 可 能 性 を 高 め 将 来 の 世 代 の 給 付 水 準 の 確 保 等 を 図 るため 持 続 可

More information

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定 資 料 10 減 損 損 失 及 び 資 本 金 の 変 動 に 関 する 調 査 記 載 上 の 注 意 事 項 当 調 査 は 減 損 損 失 を 認 識 するに 至 った 経 緯 資 本 金 の の 変 動 等 を 把 握 するために 調 査 する ものである 調 査 対 象 は 地 方 公 営 企 業 状 況 調 査 の 対 象 となっている 法 適 用 企 業 とする Ⅰ 提 出 物 について

More information

中根・金田台地区 平成23年度補償説明業務

中根・金田台地区 平成23年度補償説明業務 簡 易 公 募 型 競 争 入 札 方 式 に 準 じた 手 続 による 手 続 開 始 掲 示 次 とおり 指 名 競 争 入 札 参 加 者 選 定 手 続 を 開 始 します 平 成 23 年 6 月 1 日 中 根 金 田 台 開 発 事 務 所 長 関 根 宣 由 1 務 概 要 (1) 務 名 中 根 金 田 台 地 区 平 成 23 年 度 補 償 説 明 務 (2) 務 内 容 研

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

ていることから それに 先 行 する 形 で 下 請 業 者 についても 対 策 を 講 じることとしまし た 本 県 としましては それまでの 間 に 未 加 入 の 建 設 業 者 に 加 入 していただきますよう 28 年 4 月 から 実 施 することとしました 問 6 公 共 工 事 の

ていることから それに 先 行 する 形 で 下 請 業 者 についても 対 策 を 講 じることとしまし た 本 県 としましては それまでの 間 に 未 加 入 の 建 設 業 者 に 加 入 していただきますよう 28 年 4 月 から 実 施 することとしました 問 6 公 共 工 事 の 3 月 1 日 ( 火 )HP 公 表 基 本 関 係 社 会 保 険 等 未 加 入 対 策 に 関 する 想 定 問 答 問 1 社 会 保 険 等 とは 何 か 社 会 保 険 ( 健 康 保 険 及 び 厚 生 年 金 保 険 )と 労 働 保 険 ( 雇 用 保 険 )を 指 します 問 2 どのような 場 合 でも 元 請 と 未 加 入 業 者 との 一 次 下 請 契 約 が 禁 止

More information

2 一 般 行 政 職 給 料 表 の 状 況 ( 平 成 23 年 4 月 1 日 現 在 ) ( 単 位 : ) 1 級 2 級 3 級 4 級 5 級 6 級 7 級 8 級 1 号 給 の 給 料 月 額 135,6 161,7 222,9 261,9 289,2 32,6 366,2 41

2 一 般 行 政 職 給 料 表 の 状 況 ( 平 成 23 年 4 月 1 日 現 在 ) ( 単 位 : ) 1 級 2 級 3 級 4 級 5 級 6 級 7 級 8 級 1 号 給 の 給 料 月 額 135,6 161,7 222,9 261,9 289,2 32,6 366,2 41 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (22 年 度 末 ) A B B/A 21 年 度 の 件 費 率 22 年 度 158,172 44,66,25 1,13,136 7,281,69 16.3 16.7 (2) 職 員 給 与

More information

定款  変更

定款  変更 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 第 1 章 総 則 ( 名 称 ) 第 1 条 この 法 人 は 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 ( 以 下 公 社 という )と 称 する ( 事 務 所 ) 第 2 条 公

More information

入札公告 機動装備センター

入札公告 機動装備センター 千 葉 県 一 般 競 争 入 札 公 告 県 警 第 18 号 機 動 装 備 センター 屋 内 舗 装 改 修 工 事 の 一 般 競 争 入 札 ( 事 後 審 査 型 )の 実 施 について 地 方 自 治 法 第 234 条 第 1 項 の 規 定 により 一 般 競 争 入 札 を 次 のとおり 実 施 する なお この 入 札 は ちば 電 子 調 達 システムに 係 る 電 子 入

More information

Taro-条文.jtd

Taro-条文.jtd - 1 - 部 正 昭 和 部 正 目 ん 養 涵 養 ロ ん ロ 護 在 面 積 並 削 共 化 委 託 共 化 病 害 虫 駆 除 予 防 他 護 削 3 域 各 掲 げ ほ 全 努 - 2 - む ね 道 開 設 良 安 設 削 他 護 削 委 託 促 進 削 病 害 虫 駆 除 予 防 火 災 予 防 他 護 削 - 3 - 応 じ 6 案 作 学 識 験 意 聴 3 各 掲 げ ほ 掲 げ

More information

東京都立産業技術高等専門学校

東京都立産業技術高等専門学校 東 京 都 立 産 業 技 術 高 等 専 門 学 校 卒 業 生 を 対 象 とした 調 査 (OB OG アンケート)の 結 果 について 1 は じ め に 東 京 都 立 産 業 技 術 高 等 専 門 学 校 で は 本 校 の 教 育 水 準 の 向 上 や 教 育 内 容 の 充 実 と 改 善 を 目 的 と し て 本 校 の 前 身 校 で あ る 東 京 都 立 工 業 高 等

More information

主要生活道路について

主要生活道路について 議 題 2 資 料 1. 本 日 の 検 討 テーマ 主 要 生 活 道 路 について 基 本 構 想 ( 平 成 23 年 3 月 の 中 間 報 告 資 料 )では 主 要 生 活 道 路 A~C 主 要 生 活 道 路 D~G の2 種 類 の 主 要 生 活 道 路 整 備 を 提 言 しています 各 路 線 の 具 体 的 な 整 備 手 法 については 地 区 計 画 制 度 の 適 用

More information

Microsoft PowerPoint - 経営事項審査.ppt

Microsoft PowerPoint - 経営事項審査.ppt 経 営 事 項 審 査 建 設 業 を 取 り 巻 く 環 境 工 事 不 足 は 深 刻 化 しており 建 設 業 者 の 統 廃 合 も 活 発 化 している 中 選 ばれる 企 業 となる 事 が 生 き 残 りをかけた 最 重 要 課 題 といえる 選 ばれる 企 業 の 指 標 となるものが 経 営 事 項 審 査 であり この 評 点 はインターネット 等 にて 公 開 されている 事

More information

(4) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている.

(4) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている. 別 紙 高 山 村 の 給 与 定 員 管 理 等 について 総 括 () 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (26 年 月 日 ) A B B/A 24 年 度 の 件 費 率 年 度 千 千 千 2,9 2,64,628 6,8 467,928 8. 2.4 (2) 職 員 給 与 費

More information

はファクシミリ 装 置 を 用 いて 送 信 し 又 は 訪 問 する 方 法 により 当 該 債 務 を 弁 済 す ることを 要 求 し これに 対 し 債 務 者 等 から 直 接 要 求 しないよう 求 められたにもかか わらず 更 にこれらの 方 法 で 当 該 債 務 を 弁 済 するこ

はファクシミリ 装 置 を 用 いて 送 信 し 又 は 訪 問 する 方 法 により 当 該 債 務 を 弁 済 す ることを 要 求 し これに 対 し 債 務 者 等 から 直 接 要 求 しないよう 求 められたにもかか わらず 更 にこれらの 方 法 で 当 該 債 務 を 弁 済 するこ 求 償 権 の 管 理 回 収 に 関 する 事 例 研 究 (その2) - 平 成 23 年 度 求 償 権 管 理 回 収 等 事 務 研 修 会 から- 農 業 第 二 部 前 号 に 引 き 続 き 昨 年 9 月 に 開 催 された 求 償 権 管 理 回 収 等 事 務 研 修 会 で 求 償 権 の 管 理 回 収 事 例 研 究 として 掲 げられたテーマから4つの 事 例 について

More information

<4D6963726F736F667420576F7264202D2087472D3188C091538AC7979D8B4B92F6814594F292B98CF092CA81698A94816A2E646F63>

<4D6963726F736F667420576F7264202D2087472D3188C091538AC7979D8B4B92F6814594F292B98CF092CA81698A94816A2E646F63> 飛 鳥 交 通 株 式 会 社 安 全 管 理 規 程 平 成 23 年 11 月 10 日 改 定 目 次 第 一 章 総 則 第 二 章 輸 送 の 安 全 を 確 保 するための 事 業 の 運 営 の 方 針 等 第 三 章 輸 送 の 安 全 を 確 保 するための 事 業 の 実 施 及 びその 管 理 の 体 制 第 四 章 輸 送 の 安 全 を 確 保 するための 事 業 の 実

More information

全設健発第     号

全設健発第     号 全 設 健 発 第 114 号 平 成 28 年 2 月 23 日 事 業 主 殿 全 国 設 計 事 務 所 健 康 保 険 組 合 理 事 長 石 井 純 公 印 省 略 健 康 保 険 法 の 改 正 の ご 案 内 等 に つ い て 時 下 益 々ご 清 栄 のこととお 慶 び 申 し 上 げます 当 健 康 保 険 組 合 の 運 営 につきましては 日 頃 よりご 協 力 いただき 厚

More information

大学と学生第545号ビジネスモデルからみた卒業生就職支援の課題_関西学院大学(澤谷 敏行)-JASSO

大学と学生第545号ビジネスモデルからみた卒業生就職支援の課題_関西学院大学(澤谷 敏行)-JASSO 集 13 20098 じ 部 署 担 部 涯 習 始 ェ 導 入 研 修 e-learning 有 導 入 研 修 e-learning コ ツ 主 資 格 得 指 機 ざ 々 界 外 般 総 合 コ 国 際 コ 八 銘 打 始 独 専 系 下 前 提 形 稿 ~ ~ 澤 谷 敏 西 院 次 長 例 集 14 20098 内 議 論 十 分 知 斡 旋 法 制 約 優 先 観 見 送 昨 歳 満 離

More information