LVDS Owner's Manual.book

Size: px
Start display at page:

Download "LVDS Owner's Manual.book"

Transcription

1 LVDS オーナーズ マニュアル 第 3 版 2004 年 12 月

2

3 エンジニアがオーナーズ マニュアルを 手 にするのはいつも 最 後 です エンジニアは 説 明 書 を 読 まなくとも 自 分 の 知 識 や 技 術 で 製 品 を 使 いこなせると 考 えています 確 かに 私 の 経 験 でも 直 感 や 試 行 錯 誤 を 経 て 最 後 には 基 本 的 な 原 理 の 理 解 に 至 っていることは 事 実 です もっとも プラモ デルの 組 み 立 てのような 趣 味 の 世 界 では 許 されるかも 知 れませんが 電 子 エンジニアリングの 世 界 では 費 用 も 時 間 も 無 駄 でしかありません ナショナル セミコンダクターは お 客 様 の 一 助 とするために この LVDS オーナーズ マニュア ルを 制 作 しました LVDS に 関 する 情 報 や 考 え 方 をまとめたこの 設 計 ガイドは お 客 様 の 貴 重 な 時 間 と 費 用 の 節 減 を 助 け ナショナルの 小 振 幅 差 動 信 号 方 式 (LVDS) 製 品 の 利 点 を 最 大 限 に 活 用 する 上 で 欠 かせないものとなるでしょう ナショナル セミコンダクターは アナログ 半 導 体 製 品 サプライヤのリーダーであり また LVDS のイノベータでもあります ナショナルは LVDS に 関 する 経 験 を 生 かし お 客 様 の 信 頼 できる パートナーとなるよう 努 めています 今 回 ご 提 供 する LVDS オーナーズ マニュアル 第 3 版 は す べてのお 客 様 にとって 役 立 つ 情 報 をわかりやすくまとめたものです LVDS を 採 用 した 回 路 設 計 の スタート ポイントとして テクノロジーの 概 要 コンセプト アプリケーションなどについて 述 べています チップ 間 ボード 間 筐 体 間 のそれぞれのアプリケーションにふさわしい LVDS デバ イスやファミリの 選 定 方 法 を 示 しています また ケーブルの 選 定 や 終 端 回 路 とバックプレーン の 設 計 に 関 するガイドラインは 多 くのエンジニアにとって 参 考 となるに 違 いありません ナショナルが 提 供 している 数 多 くのアプリケーション サポート ツールのリファレンス ガイド および 入 門 書 として この LVDS オーナーズ マニュアルをご 活 用 いただければ 幸 いです なお 製 品 の 具 体 的 な 情 報 については ウェブサイト LVDS.national.com/jpn をご 覧 いただくか ナショナ ルの 販 売 代 理 店 までお 問 い 合 わせください お 客 様 の 日 頃 のご 高 配 に 感 謝 申 し 上 げるとともに 今 後 もアナログ 半 導 体 製 品 に 対 するお 客 様 のあ らゆるニーズにお 応 えできるよう 努 めてまいります ナショナル セミコンダクター LVDS グループ LVDS.national.com/jpn

4

5 目 次 第 1 章 : LVDS 入 門 1.1 LVDS への 潮 流 高 速 動 作 で 低 ノイズと 低 消 費 電 力 を 両 立 LVDS の IC Bus LVDS (BLVDS) LVDS の 応 用 例 第 2 章 : LVDS の 使 用 法 2.1 小 振 幅 差 動 信 号 の 利 点 低 コストなインタフェース FPGA と ASIC の 内 蔵 LVDS I/O ナショナルの 幅 広 い LVDS ソリューション まとめ 第 3 章 : 高 速 回 路 設 計 3.1 PCB レイアウトのヒント EMI ( 電 磁 妨 害 ノイズ ) の 低 減 AC 結 合 第 4 章 : LVDS を 使 用 した 設 計 4.1 はじめに 良 い 設 計 手 法 と 悪 い 設 計 手 法 の 結 果 比 較 EMI ( 電 磁 妨 害 ノイズ ) の 低 減 コモンモード ノイズの 除 去 LVDS 構 成 LVDS のフェイルセーフ バイアス パワーオフ 時 に 高 インピーダンスになるバス ピン LVDS.national.com/jpn

6 LVDS オーナーズ マニュアル 第 5 章 : バックプレーン 設 計 の 考 慮 事 項 と Bus LVDS 5.1 バスの 構 成 Bus LVDS バックプレーン 設 計 の 考 慮 事 項 補 足 情 報 第 6 章 : ケーブル コネクタ および 性 能 テスト 6.1 概 要 推 奨 ケーブル ケーブルのグラウンドとシールドの 接 続 第 7 章 : 性 能 テスト 7.1 LVDS の 信 号 品 質 ビット 誤 り 率 テスト (BERT) 第 8 章 : 評 価 キット 8.1 評 価 / デモボード 付 録 A.1 LVDS アプリケーション ノート ホワイト ペーパー... A-1 A.2 用 語 解 説... A-2 A.3 データシート 記 載 の 各 パラメータの 説 明... A-4 National Semiconductor s LVDS Group

7 第 1 章 LVDS 入 門 小 振 幅 差 動 信 号 方 式 (LVDS : Low-Voltage Differential Signaling) は 今 日 の 高 性 能 データ 伝 送 アプリ ケーションのニーズを 満 たす 新 しいテクノロジーです LVDS 規 格 は 差 動 データ 伝 送 の 規 格 として 最 も 広 く 採 用 が 進 んでいます その 普 及 を 推 し 進 めている 要 因 は 2 つの 簡 潔 な 特 長 にあります す なわち ギガビットの 性 能 を ミリワットの 消 費 電 力 で 実 現 できることです LVDS は 高 いデータ レートを 実 現 する 一 方 で 競 合 する 他 のテクノロジーと 比 較 して 消 費 電 力 の 大 幅 な 低 減 を 実 現 しています 加 えて 次 のような 多 くの 特 長 を 備 えています 低 電 圧 電 源 との 親 和 性 少 ないノイズ 発 生 量 高 いノイズ 除 去 性 能 信 頼 性 の 高 い 伝 送 信 号 システム レベル IC への 集 積 化 が 可 能 100Mbps クラスから 最 高 で 2Gbps 以 上 の 高 いデータ レートに 対 応 するさまざまな 製 品 が LVDS テクノロジーを 基 盤 として 登 場 しています そして 上 に 述 べたすべての 理 由 によって 高 速 性 と 低 消 費 電 力 を 求 められるあらゆるマーケット セグメントで LVDS の 採 用 が 進 んでいるのです 1.1 LVDS への 潮 流 企 業 でも 家 庭 でも より 実 物 に 近 い 映 像 表 現 が 求 められています このような 動 向 を 背 景 に 動 画 3-D グラフィックス またはカメラで 撮 影 した 写 真 クラスの 画 像 データを LAN 電 話 回 線 を 介 して PC やプリンタに 伝 送 したり 衛 星 通 信 を 介 して 家 庭 のセットトップ ボックスやデジタ ル ビデオデッキに 伝 送 するニーズがますます 高 まってきています 現 在 プリント 基 板 ファイ バ ネットワーク さらには 衛 星 回 線 をアプリケーションとして 高 速 デジタル データを 短 距 離 あるいは 長 距 離 にわたって 伝 送 できるさまざまなテクノロジーが 登 場 しています しかし たとえ 筐 体 間 あるいは 基 板 間 といった 短 い 距 離 であっても こうした 高 速 デジタル データを 伝 送 するに は 消 費 電 力 が 小 さく ノイズ 発 生 量 が 小 さく ( ますます 厳 しくなっている FCC/CISPR EMI 要 件 を 満 たすほどに ) 外 来 ノイズに 強 く さらにコスト 効 率 が 高 い きわめて 高 性 能 なソリューション が 必 要 です ナショナルが 規 格 として 最 初 に LVDS を 製 品 化 した 時 期 は 1994 年 にさかのぼります ナショナル は 要 求 される 帯 域 は 指 数 関 数 的 に 増 大 する 一 方 で ユーザーは 低 消 費 電 力 を 求 めていることを 認 識 していました このような 要 件 は RS-422 や RS-485 などの 差 動 伝 送 の 規 格 が 持 つ 能 力 を 超 えるも のでした 当 時 エミッタ 結 合 論 理 (ECL または PECL) も 使 われていましたが スタンダード ロ ジック レベルとは 互 換 性 がなく 負 電 源 電 圧 レールを 必 要 とし さらにはチップの 消 費 電 力 が 大 きい 問 題 を 抱 えていました これらの 要 因 によって エミッタ 結 合 論 理 が 広 く 普 及 することはあり ませんでした LVDS は 2 本 の 信 号 線 を 使 って 情 報 を 運 ぶ 差 動 信 号 方 式 です この 点 はあまり 良 い 印 象 を 与 えない かも 知 れませんが 実 際 には 多 くの 利 点 があります 信 号 の 伝 送 に 2 本 のトレース (または 配 線 ) を 必 要 とするのは 確 かに 欠 点 ですが コモンモードを 除 去 する 性 質 を 持 っているため 高 いノイズ 耐 性 が 得 られます 信 号 振 幅 をわずか 数 百 mv に 抑 えられた 理 由 は 信 号 対 ノイズの 除 去 性 能 が 高 いからです 振 幅 が 小 さくなったため 遷 移 時 間 は 短 くなり 結 果 として 高 いデータ レートが 実 現 されているのです 1.2 高 速 動 作 で 低 ノイズと 低 消 費 電 力 を 両 立 LVDS は 単 一 チャネルあたり 数 百 ~ 数 千 Mbps (メガビット/ 秒 ) の 速 度 でのデータ 伝 送 が 可 能 な 小 振 幅 差 動 信 号 技 術 の 1 つです 電 流 モード ドライバの 採 用 と 小 振 幅 によって 広 い 周 波 数 範 囲 に 対 して 低 ノイズと 低 消 費 電 力 を 実 現 しています LVDS.national.com/jpn 1-1

8 LVDS オーナーズ マニュアル LVDS の 動 作 原 理»3.5mA»350mV 100Ω LVDS-001 図 Ω の 差 動 インピーダンス メディアを 使 って 接 続 した LVDS ドライバと LVDS レシーバの 概 略 図 LVDS の 出 力 段 は 差 動 ペア 信 号 を 駆 動 する 電 流 源 ( 公 称 3.5mA) で 構 成 されています 基 本 レシーバ 回 路 は DC 入 力 インピーダンスが 高 いため ドライバ 電 流 のほとんどは 100Ω の 終 端 抵 抗 を 流 れ レシーバ 入 力 間 に 約 350mV の 電 圧 が 発 生 します ドライバの 出 力 レベルが 差 動 ペア 内 で 入 れ 替 わ れば 終 端 抵 抗 に 流 れる 電 流 の 向 きは 逆 になり 結 果 的 に 有 効 な 0 と 1 の 論 理 状 態 が 生 み 出 されます LVDS 規 格 LVDS は 現 在 TIA/EIA ( 米 国 電 気 通 信 工 業 会 / 米 国 電 子 工 業 会 ) によって ANSI/TIA/EIA-644 (LVDS) として 標 準 化 されています 汎 用 ( 複 数 のアプリケーション 向 き ) LVDS 規 格 である ANSI/TIA/EIA-644 は 1995 年 の TIA データ 伝 送 インタフェース 委 員 会 TR30.2 での 検 討 に 始 まります この 規 格 は ANSI/TIA/EIA-644-A として 2001 年 に 改 訂 発 行 されています ANSI/TIA/EIA 規 格 はドライバの 出 力 特 性 とレシーバの 入 力 特 性 すなわち 電 気 的 特 性 のみを 規 定 した 規 格 です アプリケーションに 依 存 する 機 能 的 仕 様 プロ トコル さらにはケーブル 全 体 の 特 性 は 対 象 ではありません ANSI/TIA/EIA-644 は インタ フェース 全 体 ( たとえばコネクタやプロトコル ) を 規 定 する 他 の 規 格 から 参 照 されることを 意 図 し ています このような 考 え 方 によって LVDS は 多 くのアプリケーションに 容 易 に 適 用 が 可 能 と なっています 1-2 National Semiconductor s LVDS Group

9 LVDS 入 門 ANSI/TIA/EIA-644-A (LVDS) 規 格 表 1.1. ANSI/TIA/EIA-644-A (LVDS) 規 格 パラメータ 説 明 最 小 値 最 大 値 単 位 V OD 差 動 出 力 電 圧 mv V OS オフセット 電 圧 V V OD V OD の 変 動 50 mv V OS V OS の 変 動 50 mv I SA, I SB 短 絡 電 流 24 ma tr / tf 出 力 の 立 ち 上 がり / 立 ち 下 がり 時 間 (200Mbps 以 上 ) 出 力 の 立 ち 上 がり / 立 ち 下 がり 時 間 (200Mbps 未 満 ) tui の 30% ns ns I IN 入 力 電 流 20 µa V TH レシーバ スレッショルド 電 圧 tui は 単 位 間 隔 すなわちビット 幅 です 注 : 実 際 のデバイスのデータシートには 上 記 よりも 良 好 な 仕 様 値 が 記 載 されている 場 合 があります ( これらパラメータの 詳 細 は 付 録 A を 参 照 してください ) +100 mv V IN 入 力 電 圧 範 囲 V ANSI/TIA/EIA 規 格 は ( 制 限 事 項 を 想 定 した 上 で ) 推 奨 最 大 データ レートを 655Mbps と 規 定 し ま た 無 損 失 伝 送 路 における 理 論 的 最 大 値 を 1.923Gbps と 規 定 しています ANSI/TIA/EIA 規 格 を 参 照 する 他 の 規 格 は 求 められる 信 号 品 質 やメディア 長 / 種 類 に 応 じて 必 要 最 大 データ レートを 規 定 することが 許 されています なお ANSI/TIA/EIA 規 格 は 最 低 限 のメディア 仕 様 障 害 状 態 でのレシーバのフェイルセーフ 動 作 多 重 レシーバ 動 作 などの 回 路 構 成 上 の 問 題 についても 規 定 しています ANSI/TIA/EIA-644 規 格 は 1995 年 11 月 に 承 認 されました ナショナルはこの 規 格 の 策 定 で 規 格 委 員 を 務 めました その 後 644 仕 様 は 改 訂 され 多 重 レシーバ 動 作 の 情 報 が 追 加 されました 改 訂 仕 様 は 2001 年 2 月 に TIA-644-A の 仕 様 番 号 で 発 行 されています これとは 別 に IEEE プロジェクトで 策 定 されたもう 1 つの LVDS 規 格 が 存 在 します こちらの 規 格 は マルチプロセッサ システムでのプロセッサ 間 接 続 や 複 数 ワークステーションをクラス タ グループ 化 することを 目 的 とした 規 格 の 開 発 過 程 で 誕 生 したものです スケーラブル コヒー レント インタフェース (SCI) と 名 付 けられたこのプロジェクトは もともとは 高 いデータ レー トを 実 現 する 差 動 ECLインタフェースを 使 って 規 定 されましたが 当 初 は 消 費 電 力 やデバイスへの 集 積 性 が 考 慮 されることはありませんでした のちに SCI の 下 位 仕 様 として 低 電 力 SCI-LVDS 規 格 が 定 義 され 現 在 の IEEE 規 格 に 定 めら れています SCI-LVDS 規 格 は 高 速 / 低 電 力 SCI 物 理 層 インタフェースとして ANSI/TIA/EIA-644 規 格 と 同 様 の 信 号 レベル ( 電 気 的 仕 様 ) を 定 めています また SCI データ 転 送 で 使 用 されるパケッ ト スイッチングのエンコード 方 法 も 定 めています なお IEEE 規 格 は 1996 年 3 月 に 承 認 されましたが 5 年 後 に 満 了 となり 更 新 されませんでした ナショナルは 標 準 化 委 員 会 の 議 長 を 務 めました 両 規 格 とも 幅 広 い 普 及 を 促 すために 特 定 のプロセス 技 術 伝 送 メディア 電 源 電 圧 などを 定 義 せ ず 利 用 する 側 にゆだねています つまり CMOS GaAs など 利 用 可 能 なプロセス 上 に 実 装 で き 5V 3.3V または 3V 以 下 の 電 源 で 動 作 し さらに PCB トレースかケーブルを 介 した 伝 送 に 対 応 する LVDS は さまざまな 産 業 の 幅 広 いアプリケーションに 対 応 できることを 意 味 します LVDS.national.com/jpn 1-3

10 LVDS オーナーズ マニュアル 1.3 LVDS の IC 複 数 のサプライヤからさまざまな LVDS インタフェース デバイスが 提 供 されています LVDS を 採 用 したデバイスの 例 は 次 のとおりです ライン ドライバ / レシーバ LVCMOS などのシングルエンド 信 号 を バックプレーンやケーブル 上 の 伝 送 に 適 した 信 号 形 式 に 変 換 するデバイスです シングル チャネル 品 やマルチ チャネル 品 があります SerDes シリアライザ / デシリアライザのペアは 複 数 の 低 速 CMOS 信 号 をマルチプレクスして 単 一 の LVDS チャネル 上 に 高 いデータ レートで 伝 送 するデバイスです SerDes IC は 通 常 ケーブルま たはバックプレーンのコネクタ ピン 数 あるいは 配 線 数 を 削 減 することを 目 的 としています ま た IC パッケージの I/O ピン 数 を 減 らすために 大 規 模 かつ 複 雑 な IC に SerDes 機 能 が 内 蔵 される 場 合 もあります スイッチ データ レートが 高 いバスにはスイッチ アーキテクチャが 適 しています スイッチは 必 然 的 に 高 いデータ レートで 動 作 します LVDS はバス スイッチのような IC を 実 現 する 選 択 肢 の 1 つで す スイッチはクロック 分 配 にも 使 用 されることがあります LVDS は その 信 号 インテグリティ の 信 頼 性 の 高 さによって 任 意 の 周 波 数 のクロック 信 号 の 分 配 に 適 した 信 号 方 式 の 規 格 の 1 つで す 1.4 Bus LVDS (BLVDS) BLVDS とも 呼 ばれる Bus LVDS は LVDS テクノロジーを 基 盤 とした 新 しいバス インタフェース 回 路 ファミリで 特 にマルチポイントのケーブルまたはバックプレーン アプリケーションへの 適 用 を 目 的 としています マルチポイント アプリケーションで 必 要 となる 両 端 終 端 に 対 応 できるよ うに LVDS 規 格 に 対 して 電 流 駆 動 能 力 を 高 めています Bus LVDS は 高 速 バス 設 計 で 直 面 する 多 くの 課 題 を 解 決 します Bus LVDS は 電 源 プルアップを 用 いた 特 殊 な 終 端 が 不 必 要 アクティブ 終 端 デバイスが 不 必 要 一 般 的 な 電 源 電 圧 レール (3.3V や 5V) で 動 作 単 純 な 終 端 方 式 を 採 用 インタフェース デバイスの 消 費 電 力 が 抑 えられる 発 生 するノイズ 量 はわずか カードの 活 線 挿 抜 に 対 応 マルチポイントの 高 負 荷 バスを 100Mbps オーダーで 駆 動 設 計 者 にとって Bus LVDS 製 品 は 高 速 なマルチポイント バス インタフェース 問 題 を 解 決 する 新 たな 選 択 肢 となります カード 密 度 を 高 めるために 高 速 バックプレーンを 必 要 とするテレコム インフラストラクチャやデータコム アプリケーションから ケーブル 長 が 長 くノイズ 耐 性 が 求 め られる 産 業 用 アプリケーションまで Bus LVDS は 幅 広 いアプリケーションに 対 応 します Bus LVDS の 詳 細 は 第 5 章 を 参 照 してください 1-4 National Semiconductor s LVDS Group

11 LVDS 入 門 1.5 LVDS の 応 用 例 低 消 費 電 力 / 低 ノイズ / 低 コストの 特 長 を 持 つ LVDS は さまざまなアプリケーションから 見 て 魅 力 的 なテクノロジーです アプリケーションの 一 例 を 表 1.2. に 示 します PC/ コンピューティング 表 1.2. アプリケーション 例 テレコム / データ 通 信 民 生 / 業 務 用 フラットパネル ディスプレイ スイッチ 民 生 / 業 務 用 ビデオ リンク モニタ リンク ADM (add/drop multiplexer) セットトップ ボックス 装 置 SCI プロセッサ インタコネクト ハブ 機 内 娯 楽 装 置 プリンタ エンジン リンク ルータ ゲーム ディスプレイ / コントローラ デジタル コピー 機 アクセス システム システム クラスタリング ブロードバンド 集 線 装 置 マルチメディア 周 辺 装 置 リンク 基 地 局 LVDS.national.com/jpn 1-5

12

13 第 2 章 LVDS の 使 用 法 2.1 小 振 幅 差 動 信 号 の 利 点 LVDS に 使 用 される 差 動 データ 伝 送 方 式 は シングルエンド 方 式 に 比 べてコモンモード ノイズの 影 響 を 受 けにくい 性 質 があります 1 本 のワイヤでデータを 送 るシングルエンド 方 式 に 対 して 差 動 伝 送 方 式 では 互 いに 逆 向 きの 電 流 / 電 圧 振 幅 を 持 った 2 本 のワイヤを 使 用 します 差 動 方 式 の 利 点 は ノイズが 2 本 のワイヤにコモンモード ( 両 方 のワイヤに 等 しくノイズが 現 れる ) として 重 畳 した 場 合 2 本 の 信 号 の 差 分 のみを 検 出 するレシーバでノイズを 除 去 できる 点 にあります また 差 動 信 号 は 互 いに 磁 界 を 打 ち 消 すため シングルエンド 信 号 に 比 べて 放 射 するノイズが 小 さい 性 質 を 持 っています さらに 電 流 モード ドライバはリンギングやスイッチング スパイクが 発 生 し にくく ノイズの 一 層 の 低 減 に 寄 与 します LVDS に 代 表 される 差 動 通 信 技 術 はノイズが 問 題 となりにくい 性 質 を 備 えているため 電 圧 振 幅 を 小 さくできます データ レートを 上 げ かつ 消 費 電 力 を 抑 えるには 小 振 幅 信 号 を 使 用 する 以 外 に 方 法 はないため この 特 長 はきわめて 重 要 です ドライバの 振 幅 を 小 さくすれば データをきわ めて 高 速 に 遷 移 できるようになります また ドライバは 電 流 モードで 動 作 するため 動 作 周 波 数 に 比 べて 消 費 電 力 は 低 く ほぼ 一 定 の 値 を 示 します ドライバで 発 生 するスイッチング スパイク はとても 小 さいため スイッチング 周 波 数 を 上 げても ICC は 指 数 関 数 的 には 増 えません また 負 荷 で 消 費 される 電 力 は 大 きさにおいて 非 常 に 小 さな 値 となります (3.5mA 350mV=1.2mW) 各 差 動 信 号 方 式 の 比 較 表 2.1. RS-422 PECL LVDS の 比 較 パラメータ RS-422 PECL LVDS 差 動 ドライバ 出 力 電 圧 ±2 ~ ±5V ±600 ~ 1000mV ±250 ~ 450mV レシーバ 入 力 スレッショルド ±200mV ±200 ~ 300mV ±100mV データ レート <30Mbps >400Mbps >400Mbps パラメータ RS-422 PECL LVDS* 4 回 路 ドライバの 電 源 電 流 60mA (max) 32 ~ 65mA (max) 8.0mA ( 無 負 荷 静 的 状 態 ) 4 回 路 ドライバの 電 源 電 流 ( 無 負 荷 静 的 状 態 ) * 表 に 示 した LVDS デバイスは DS90LV047A/048A です 23mA (max) 40mA (max) 15mA (max) ドライバの 伝 播 遅 延 11ns (max) 4.5ns (max) 1.7ns (max) レシーバの 伝 播 遅 延 30ns (max) 7.0ns (max) 2.7ns (max) パルス スキュー ( ドライバまたはレシーバ ) 適 用 外 500ps (max) 400ps (max) 表 2.1. に LVDS の 基 本 信 号 レベルと PECL の 基 本 信 号 レベルの 比 較 を 示 します 表 から LVDS の 電 圧 振 幅 は PECL の 半 分 であることがわかります また LVDS の 信 号 振 幅 は RS-422 あるいは 従 来 の TTL/CMOS レベルと 比 べて 約 10 分 の 1 です LVDS の 電 圧 特 性 上 のもう 1 つの 特 長 として ドライバもレシーバも たとえば 5V といった 特 定 の 電 源 電 圧 に 依 存 していない 点 が 挙 げられます したがって 信 号 レベルや 性 能 を 維 持 したままで 3.3V や 2.5V といった 低 電 圧 電 源 に 容 易 に 移 行 が 可 能 です 対 照 的 に ECL や PECL などの 信 号 方 式 は 電 源 電 圧 に 大 きく 依 存 しているため このようなテクノロジーを 採 用 したシステムを 低 い 電 圧 電 源 に 移 行 するのは 困 難 です LVDS.national.com/jpn 2-1

14 LVDS オーナーズ マニュアル 簡 単 な 終 端 方 式 伝 送 メディアは その 特 性 差 動 インピーダンスで 終 端 して 完 全 な 電 流 ループの 形 成 と 高 速 ( エッ ジ レート ) 信 号 の 終 端 を 図 らなければなりません LVDS の 伝 送 メディアがケーブルであって も あるいはプリント 基 板 上 のインピーダンスを 制 御 したトレースであっても この 要 件 は 同 じで す 伝 送 メディアの 終 端 が 不 適 切 な 場 合 ケーブルやトレースの 遠 端 で 信 号 が 反 射 し 後 続 の 信 号 に 干 渉 を 与 える 可 能 性 があります 適 切 な 終 端 は 好 ましくない 電 磁 界 放 射 を 低 減 し 最 適 な 信 号 品 質 を 実 現 します 反 射 を 防 ぐため LVDS では ケーブルまたは PCB トレースの 実 際 の 差 動 インピーダンスに 整 合 し た 終 端 抵 抗 を 必 要 とします 一 般 にインピーダンス 100Ω のメディアと 抵 抗 を 使 用 します 抵 抗 が 電 流 ループを 完 結 させ 信 号 を 適 切 に 終 端 します 抵 抗 は 2 本 の 信 号 線 をまたがるように レシー バの 入 力 端 のできるだけ 近 くに 配 置 します LVDS-005 図 2.1. LVDS 終 端 LVDS-090 図 2.1a. 内 蔵 終 端 LVDS-007 図 2.2. PECL 終 端 LVDS は その 終 端 方 式 の 単 純 さによって ほぼすべてのアプリケーションで 実 装 が 容 易 です 単 一 抵 抗 のみで 終 端 可 能 な LVDS に 比 べて ECL や PECL の 終 端 方 法 はより 複 雑 です さらに PECL 接 続 はいくつかの 要 件 を 満 たさなければなりません レシーバは VEE より 1V 程 度 低 い 電 圧 でバイ アスする 必 要 があります 伝 送 線 路 は 終 端 が 必 要 なだけではなく ドライバから DC 電 流 を 引 き 込 む 抵 抗 性 の 信 号 路 となります 図 2.2. にテブナン 終 端 ネットワークを 用 いた PECL の 実 装 例 を 示 し ます 2-2 National Semiconductor s LVDS Group

15 LVDS の 使 用 法 最 大 スイッチング 速 度 LVDS インタフェースで 実 現 される 最 大 スイッチング 速 度 は 単 純 な 問 題 ではなく その 答 えはさ まざまな 要 因 によって 変 わってきます すなわち ライン ドライバとライン レシーバの 性 能 ( エッジ レート ) 伝 送 メディアの 帯 域 幅 目 的 のアプリケーションで 求 められる 信 号 品 質 などの 要 因 を 考 慮 しなければなりません 動 作 速 度 の 上 限 は きわめて 高 速 な LVDS ドライバの 出 力 ではなく 次 のような 他 の 要 因 によって 制 限 されます 1. ドライバに TTL データをどのくらい 高 速 に 供 給 できるか TTL/CMOS 信 号 を LVDS に 変 換 する 単 純 な PHY デバイス ( たとえば DS90LV047A) の 場 合 2. 使 用 する 伝 送 メディア ( ケーブル ) の 帯 域 特 性 種 類 と 長 さによって 異 なる たとえば DS90LV047A ドライバの 動 作 速 度 を 制 限 する 要 因 の 1 つは TTL データを 供 給 するデー タ レートです ナショナルの 各 種 チャネル リンク デバイス (SerDes) は TTL データをバス 幅 の 狭 い LVDS デー タ ストリームにシリアル 変 換 して TTL と LVDS の 速 度 差 を 吸 収 します 詳 細 は 後 述 します 省 電 力 化 技 術 LVDS テクノロジーはさまざまな 方 法 によって 省 電 力 化 を 図 っています 負 荷 (100Ω 終 端 抵 抗 ) で 消 費 される 電 力 はわずか 1.2mW に 過 ぎません それに 比 べて RS-422 では ドライバが 100Ω の 終 端 抵 抗 の 両 端 に 3V を 印 加 するため 消 費 電 力 は 90mW となり この 値 は LVDS のおよそ 75 倍 に 相 当 します LVDS デバイスは 静 止 時 の 消 費 電 力 が 低 い CMOS プロセスで 製 造 されます 回 路 設 計 上 LVDS の ドライバおよびレシーバの 電 源 電 流 は PECL/ECL デバイスの 約 10 分 の 1 となります LVDS では 前 述 のとおり 負 荷 で 消 費 される 電 力 と 静 止 時 の I DD 電 流 に 加 えて 電 流 モード ドラ イバ 回 路 の 採 用 もシステムが 消 費 する 電 力 の 低 減 に 寄 与 しています この 回 路 方 式 によって I DD の 周 波 数 成 分 は 大 幅 に 小 さくなります LVDS の I DD と 動 作 周 波 数 の 関 係 をグラフに 表 すと 10MHz から 100MHz の 間 でほぼ 平 坦 となります 4 回 路 ( クワッド ) デバイスである DS90C031/032 の 場 合 100MHz でドライバとレシーバを 動 作 させた 場 合 でも 合 計 の 消 費 電 力 は 50mA 未 満 です 周 波 数 の 上 昇 に 伴 って 指 数 関 数 的 に 消 費 電 力 が 動 的 に 増 えていく TTL/CMOS トランシーバと 比 べれ ば 利 点 がわかります LVDS の 回 路 構 成 100Ω LVDS-003 図 2.3. 一 対 一 構 成 LVDS のドライバとレシーバは 一 般 的 に 図 2.3. に 示 すような 一 対 一 構 成 で 使 用 します 一 対 一 の 一 対 一 リンクは 伝 送 路 の 途 中 に 妨 げるものがないため 最 高 の 信 号 品 質 が 得 られます この 構 成 の 場 合 LVDS は 相 当 の 長 さのケーブルに 対 して 高 速 信 号 を 駆 動 できる 一 方 電 力 消 費 は 特 筆 すべきほ ど 低 く またノイズ 発 生 量 はきわめてわずかです もちろん そのほかのトポロジー/ 回 路 構 成 も 可 能 です システム 設 計 者 が 性 能 そのものよりもインタコネクトの 本 数 ( 配 線 数 ) を 減 らすことに 重 点 を 置 い ている 場 合 も 優 れたテクノロジーである LVDS は 検 討 に 値 します LVDS は 双 方 向 シグナリング やバス アプリケーションにも 適 しているからです LVDS.national.com/jpn 2-3

16 LVDS オーナーズ マニュアル 図 2.4. は 1 組 のツイスト ペア ケーブルでの 双 方 向 伝 送 を 実 現 する 回 路 構 成 です データは 同 時 には 1 方 向 にしか 流 せません この 回 路 方 式 の 要 件 である 2 個 の 終 端 抵 抗 によって 信 号 レベルの 低 下 が 生 じる ( つまり 差 動 ノイズ マージンも 小 さくなる ) ため ノイズが 小 さく 伝 送 距 離 が 短 い (10m 未 満 ) 場 合 にのみ 採 用 を 検 討 してください 両 端 終 端 に 対 応 し LVDS とレベル 互 換 を 実 現 した Bus LVDS デバイスの 概 要 は 第 5 章 で 説 明 します 図 2.4. 双 方 向 半 二 重 構 成 LVDS W 100W 100W 図 2.5. マルチドロップ 構 成 LVDS-002 マルチドロップとは 1 個 のドライバ 出 力 を 複 数 のレシーバに 接 続 した 回 路 構 成 です この 回 路 構 成 はデータを 分 配 するアプリケーションに 適 しています この 構 成 もスタブ 長 を 最 短 にしなければな りません ( アプリケーションに 依 存 しますが 20mm 未 満 ) マルチドロップ アプリケーションは 5.2 項 でも 説 明 します 2.2 低 コストなインタフェース LVDS はコスト 効 率 の 高 いソリューションです 1. ナショナルの LVDS CMOS 実 装 は 複 雑 なカスタム 設 計 に 比 べて 優 れた 価 格 性 能 比 を 実 現 します 2. 一 般 的 な CAT3 ケーブルとコネクタ または FR4 材 料 あるいはその 両 方 の 組 み 合 わせで 高 性 能 を 達 成 します 3. LVDS はきわめて 小 さな 電 力 しか 消 費 しませんので 電 源 の 小 型 化 や ファンやそのほかの 部 品 の 削 減 が 可 能 です 4. LVDS は 低 ノイズ かつ ノイズ 耐 性 の 高 いテクノロジーなので ノイズに 起 因 する 種 々の 問 題 の 発 生 が 抑 えられます 2-4 National Semiconductor s LVDS Group

17 LVDS の 使 用 法 5. LVDS トランシーバはコスト 効 率 の 高 い 製 品 です またトランシーバをデジタル コアに 集 積 すれば 回 路 の 高 集 積 化 が 可 能 です 6. LVDS は TTL に 比 べてはるかに 高 速 にデータを 伝 送 できるため 複 数 の TTL 信 号 をシリア ル 化 または 多 重 化 して 1 本 の LVDS チャネルにまとめるのも 可 能 であり ボード コネク タ ケーブルの 費 用 削 減 を 実 現 します TTL LVDS TTL LVDS-008 図 2.6. TTL バスをバス 幅 の 狭 い LVDS データ ストリームに 変 換 し 再 び 元 の TTL バスに 戻 す ナショナルのチャネル リンク チップセット 事 実 一 部 のアプリケーションでは シリコン デバイスのコスト 増 加 よりも プリント 基 板 (PCB) ケーブル コネクタのコストを 削 減 できるほうがはるかに 重 要 です PCB ケーブル コ ネクタの 小 型 化 によって 人 間 工 学 を 重 視 したデザインの 採 用 や 使 い 勝 手 のよいシステムの 開 発 が 可 能 になります 2.3 FPGA と ASIC の 内 蔵 LVDS I/O 最 近 のフィールド プログラマブル ゲートアレイ (FPGA) や 特 定 用 途 向 け 集 積 回 路 (ASIC) に Bus LVDS を 集 積 する 事 例 が 増 えています これら 新 しい 半 導 体 デバイスでは 一 般 的 なマルチドロッ プ テクノロジーとの 整 合 性 を 一 層 高 めるために LVDS の 駆 動 能 力 の 改 善 が 図 られています ディスクリート ( 単 体 IC) ソリューションと 比 べて FPGA プラットフォームには 長 所 と 短 所 があり ます たとえば 集 積 化 によって PCB 上 の IC 数 が 少 なくなれば 一 般 的 にインタコネクト ( 配 線 ) 数 が 減 り 設 計 の 単 純 化 が 図 れます ボード サイズの 小 型 化 が 重 要 な 場 合 集 積 化 は 必 須 です システム 設 計 者 は これら 集 積 化 によってもたらされる 利 点 と 強 力 な 駆 動 能 力 によって 信 号 イン テグリティに 生 じる 影 響 とを 天 秤 にかけて 判 断 しなければなりません また 一 般 にディスク リート ソリューションの 方 が FPGA を 用 いた 集 積 化 に 比 べて コスト 効 率 では 優 れる 点 も 理 解 しておく 必 要 があります LVDS であっても 堅 牢 性 の 高 いバックプレーン インタフェースを 実 現 するには 設 計 上 のさま ざまな 課 題 を 解 決 しなければなりません 1. スタブ 長 : バックプレーンのトレースからバックプレーン コネクタをわたって Bus LVDS レシーバに 至 るまでのトレース 長 を 指 します マルチドロップやマルチポイントのバスで スタブ 長 が 長 いと 信 号 インテグリティの 劣 化 を 招 きます 2. ESD 保 護 : システム ボードの 挿 入 時 または 抜 去 時 の 信 頼 性 を 向 上 させるには 静 電 放 電 (ESD) に 対 する 耐 性 が 必 要 です 3. 容 量 性 負 荷 : アクティブ デバイスの I/O には 容 量 成 分 が 存 在 します 容 量 が 大 きいとイン ピーダンスの 低 下 とノイズ マージンの 減 少 を 招 きます LVDS.national.com/jpn 2-5

18 LVDS オーナーズ マニュアル スタブ 長 マルチドロップ バスやマルチポイント バスで 信 号 インテグリティを 改 善 する 最 も 適 切 な 方 法 は スタブ 長 を 短 くすることです 経 験 則 からスタブ 長 は 2.5cm (1 インチ ) より 長 くしてはなりません (5.3.3 項 参 照 ) ただし 信 号 反 射 によるバス ノイズによってシステム 性 能 が 制 約 されることを 理 解 しているなら スタブ ト レース 長 を 長 めにした 設 計 を 行 っても 構 いません ボード 設 計 者 は FPGA や ASIC の 配 置 に 関 して 大 きな 自 由 度 を 常 に 与 えられているとは 限 りませ ん LVDS レシーバを 集 積 した 大 規 模 IC の 配 置 は 数 々の 要 件 から 決 定 されます 信 号 インテグリ ティの 観 点 ではレシーバをバックプレーン コネクタ 近 傍 に 配 置 すべきですが 部 品 配 置 上 の 条 件 と 矛 盾 するのも 珍 しくありません また FPGA が 多 ピンの LVDS I/O を 持 つ 場 合 コネクタから FPGA 入 力 までのトレース 長 を 調 整 できる 自 由 度 はさらに 制 約 されます ディスクリート ソリューションを 用 いれば 最 適 な 信 号 インテグリティが 得 られるように LVDS I/O を 配 置 できる 自 由 度 が 高 まります 大 規 模 IC を 採 用 したときのように 部 品 配 置 と 信 号 インテ グリティの 両 立 に 悩 む 必 要 はありません ESD 保 護 ボードやケーブルを 取 扱 う 際 あるいはシステムに 接 続 する 際 は 常 に ESD 事 象 が 発 生 する 可 能 性 があります 多 くの 場 合 外 部 インタフェース 用 としてボードに 実 装 されている 集 積 回 路 が ESD のパスになります 高 い ESD 耐 性 を 持 つデバイスを 選 択 すると ボードの 信 頼 性 を 向 上 でき ます ナショナルのインタフェース デバイスを 使 用 して ESD 事 象 に 起 因 する 諸 問 題 から 感 度 の 高 いプログラマブル デバイスを 分 離 し 保 護 することが 望 まれます HBM ESD 保 護 レベルの 例 DS90LV047 >10kV DS90LV048 >10kV DS90CP22 >5.0kV DS92LV090 >4.5kV DS92LV040 >4.0kV FPGA や PLD の 多 くは 1000V から 2000V 程 度 の HBM ESD テストしかパスしません これら 回 路 の 保 護 を 高 める 必 要 がある 場 合 は 高 価 なデバイスの 前 段 に 安 価 な 単 品 の LVDS IC を 使 用 する とよいでしょう 容 量 性 負 荷 プラグイン カードはバックプレーン トレース 上 に 容 量 性 負 荷 として 現 れます バックプレー ン バス トレースの 負 荷 が 増 えるに 伴 いインピーダンスは 低 下 します インピーダンスの 低 下 は ノイズ マージンの 減 少 と 回 路 動 作 の 信 頼 性 低 下 を 招 きます プラグイン カードがバスに 容 量 負 荷 を 与 える 要 因 は 3 つです コネクタに 起 因 するバルク コンデンサ 負 荷 PCB トレース デバイスの I/O 構 造 適 切 な 回 路 設 計 を 行 った 場 合 コネクタの 寄 与 分 は 2pF から 3pF トレースの 寄 与 分 は 2pF から 3pF デバイスの 寄 与 分 は 4pF から 5pF です このような 設 計 での 合 計 負 荷 はおよそ 10pF となりま す 2-6 National Semiconductor s LVDS Group

19 LVDS の 使 用 法 LVDS (MHz) FPGA LVDS LVDS-006 ( ) 図 2.7. 集 積 ソリューションとディスクリート ソリューションでのバックプレーン 性 能 の 比 較 プログラマブル デバイスが 持 つ 自 由 度 は 負 荷 容 量 として 跳 ね 返 ってきます ナショナルの Bus LVDS 製 品 の I/O 容 量 は 5pF です プログラマブル デバイスの I/O 容 量 はおよそ 2 倍 の 10pF で す 容 量 の 増 加 はバスのインピーダンスを 低 下 させ 結 果 としてノイズ マージンの 減 少 と 回 路 動 作 の 信 頼 性 低 下 を 招 きます 図 2.7. は ディスクリート ソリューションの 性 能 を LVDS I/O を 内 蔵 した FPGA の 性 能 と 比 較 したシミュレーション 結 果 です ディスクリート ソリューションでサポートできるデータ レー トの 方 が 数 倍 高 くなっていますが その 大 きな 理 由 は I/O 容 量 が 小 さいためです LVDS.national.com/jpn 2-7

20 LVDS オーナーズ マニュアル ケーブル 駆 動 能 力 最 近 では 筐 体 間 接 続 あるいは 筐 体 内 接 続 に 高 速 シリアル インタフェースが 採 用 されることも 少 なくありません このとき I/O 性 能 の 観 点 ではケーブル 駆 動 能 力 が 重 要 となります 現 在 市 場 に 出 ている 最 新 FPGA の 代 表 的 な LVDS I/O 出 力 と 新 世 代 の LVDS ディスクリート デバイスの I/O 出 力 を 比 較 すると 駆 動 能 力 が 大 きく 異 なっていることがわかります 図 2.8. に 複 数 の 回 路 を 用 いてケーブル 駆 動 能 力 を 比 較 した 実 験 結 果 を 示 します データ 取 得 に 使 用 した FPGA は 内 部 回 路 を 最 小 にしたものです 回 路 を 最 適 化 し 入 力 段 内 部 バッファ 出 力 段 の みで 構 成 しています 仮 に FPGA 内 部 で 多 くの 内 部 ロジックがスイッチングした 場 合 LVDS の I/O 信 号 に 現 れるノイズ 量 が 増 加 する 点 に 注 意 してください ナショナルのデバイスであれば すべて の 出 力 が 入 力 周 波 数 でスイッチングしても 動 作 に 何 ら 影 響 はありません (Mbps) SCAN90CP02 FPGA LVDS FPGA LVDS LVDS-009 CAT5 (m) 図 2.8. 集 積 化 ソリューションとディスクリート ソリューションのケーブル 駆 動 性 能 の 比 較 ディスクリート LVDS デバイスの I/O はケーブル 駆 動 に 最 適 化 されています FPGA の 汎 用 I/O に 比 べた 性 能 の 優 位 性 を 図 2.8. に 示 します 設 計 の 自 由 度 高 速 LVDS I/O を 内 蔵 した FPGA は 低 速 I/O を 内 蔵 した 同 様 のデバイスに 比 べて どうしても 価 格 が 高 くなりがちです また 全 部 あるいはほとんどすべての 高 速 I/O が 回 路 に 割 り 当 てられて いるのであれば 高 価 な FPGA の 価 値 を 設 計 者 も 納 得 するでしょう しかし FPGA が 備 えている I/O 数 ほど 実 際 の 回 路 は 多 くの I/O を 必 要 としない 事 例 がほとんどです つまり 設 計 者 が 高 付 加 価 値 に 支 払 ったコストは 無 駄 になってしまうのです 現 在 市 場 には さまざまなインタフェース 構 成 やバス 幅 に 対 応 した 数 多 くのディスクリート LVDS 製 品 が 存 在 しています 設 計 者 が 必 要 とする LVDS インタフェースは FPGA からではなく ディスクリート 部 品 から 探 したほうが はるかに 見 つけやすいと 考 えられます 回 路 の 最 低 限 の 要 件 を 満 たす 程 度 のソリューションのほうが 高 いコスト 効 率 が 得 られるでしょう 2-8 National Semiconductor s LVDS Group

21 LVDS の 使 用 法 2.4 ナショナルの 幅 広 い LVDS ソリューション ナショナルはさまざまな 形 態 で LVDS テクノロジーを 提 供 しています たとえば 5V DS90C031/ DS90C032 と 3V DS90LV047A/DS90LV048A のクワッド 型 ライン ドライバ / レシーバは 汎 用 的 な 用 途 に 利 用 できるように ディスクリート パッケージに LVDS テクノロジーを 収 容 しています 基 本 的 なライン ドライバとライン レシーバで 構 成 されるこのファミリには クワッド 回 路 品 に 加 えて シングル 回 路 品 デュアル 回 路 品 も 用 意 されています ラップトップ パソコンやノートブック パソコンで 高 解 像 度 の LCD 画 面 を 接 続 する 特 定 用 途 向 けに ナショナルは FPD リンク ( フラットパネル ディスプレイ リンク ) 用 と LDI (LVDS ディ スプレイ インタフェース ) 用 のデバイスを 提 供 しています これらの 部 品 は 広 帯 域 低 消 費 電 力 小 型 パッケージの 特 長 に 加 え ノートブック パソコンや LCD モニタ アプリケーション 向 けに XGA/SXGA/UXGA 上 位 の 解 像 度 をサポートするインタフェースを 備 えています 21 ビット 28 ビット または 48 ビットのパラレル データを 3 ビット 4 ビット または 8 ビットの LVDS シリアル データと LVDS クロックに 変 換 するナショナルのチャネル リンク ファミリは LVDS の 利 用 形 態 としてはより 汎 用 的 です これらのデバイスは 最 高 スループット 6.4Gbps の 高 速 データ パイプを 実 現 し 高 速 ネットワークハブ ルータ 低 価 格 ながら 高 速 リン クが 求 められるあらゆるアプリケーションに 適 しています シリアライズ 機 能 によってケーブルの ピン 数 削 減 とコネクタの 小 型 化 が 図 れるため 優 れた 価 格 性 能 比 が 達 成 されます Bus LVDS は LVDS ライン ドライバとライン レシーバ ファミリの 拡 張 版 です バスを 両 端 で 終 端 する マルチドロップ アプリケーションとマルチポイント アプリケーションでの 使 用 を 想 定 して 設 計 されています Bus LVDS は 多 くの 負 荷 が 接 続 され 実 効 インピーダンスが 100Ω より も 下 がったバックプレーンにも 適 用 可 能 です この 場 合 ドライバから 見 た 負 荷 インピーダンスは 30Ω から 50Ω になります Bus LVDS ドライバは 約 10mA の 出 力 電 流 を 駆 動 できるため 負 荷 が 重 い 場 合 でも 通 常 の LVDS と 同 じ 信 号 振 幅 が 得 られます 本 マニュアル 執 筆 時 点 で Bus LVDS ファ ミリとしてトランシーバとリピータが 製 品 化 されています 単 一 のシリアル ストリーム 中 にクロックとデータを 埋 め 込 み シリアル 伝 送 後 にクロックとデー タを 復 元 する 18 ビット 16 ビット 10 ビットのシリアライザとデシリアライザのファミリ デバ イスも 提 供 中 です これらのチップセットは 集 積 度 が 高 く クロック 復 元 回 路 を 内 蔵 しています すべてのデシリアライザは 業 界 初 となるランダム データ ロック 機 能 を 備 えています デシリ アライザは 動 作 中 バスへの 活 線 挿 入 が 可 能 で また PLL のトレーニングに 特 殊 なコードを 送 信 す る 必 要 はありません LVDS テクノロジー 採 用 の 特 定 機 能 を 備 えたデバイスも 開 発 中 です 新 しいファミリは 単 純 な PHY デバイスに 機 能 を 追 加 したものとなります 現 時 点 で クロスポイント スイッチの 製 品 ラ インと 合 わせ 6 CMOS 出 力 を 備 える 超 低 スキュー クロック トランシーバ (DS92CK16) を 提 供 中 です 2.5 まとめ LVDS テクノロジーを 採 用 したソリューションは 高 性 能 データ 伝 送 アプリケーションで 速 度 電 力 ノイズ コストのすべてを 両 立 します LVDS テクノロジーは 既 存 のアプリケーションに さまざまな 利 益 をもたらすだけではなく 新 たなアプリケーションに 可 能 性 の 扉 を 広 げます LVDS.national.com/jpn 2-9

22

23 第 3 章 高 速 回 路 設 計 3.1 PCB レイアウトのヒント LVDS は 数 百 MHz から 2GHz 程 度 のデータ レートを 持 つシステムに 適 合 するテクノロジーで す この 周 波 数 帯 になると PCB を 単 なるインタコネクト ( 配 線 ) の 集 合 体 として 取 り 扱 えません つまり 高 速 信 号 を 伝 搬 するトレースは 伝 送 線 路 として 取 り 扱 わなければなりません 伝 送 線 路 の 設 計 では 適 切 なインピーダンス 管 理 と 正 しい 終 端 が 必 要 です この 章 では インピーダンス 計 算 や 信 号 インテグリティに 始 まり さらには 適 正 な 電 源 設 計 に 至 る まで ECL CML LVDS などを 採 用 した 高 速 回 路 設 計 に 関 連 するさまざまな 事 項 についてまとめ ています 次 に 設 計 に 際 しての 一 般 的 な 推 奨 事 項 を 説 明 します LVDS ドライバが 持 つ 高 速 なエッジ レートは たとえ 短 い 距 離 であっても インピーダンスの 整 合 がきわめて 重 要 となることを 意 味 します なお 整 合 すべきインピーダンスは 差 動 インピーダン スです 差 動 インピーダンスに 不 整 合 が 存 在 すると 信 号 の 劣 化 やコモンモード ノイズを 引 き 起 こす 反 射 が 発 生 します 線 路 上 のコモンモード ノイズは 差 動 線 路 が 持 つ 磁 界 の 打 ち 消 し 効 果 が 及 ばないため EMI として 放 射 されます IC ピンのできるだけ 近 くから 管 理 した 差 動 インピーダンス トレースを 使 用 しなければなりま せん スタブ 長 あるいは 管 理 されていないインピーダンス トレースの 長 さは 12mm (0.5 イン チ ) 未 満 に 抑 えてください また インピーダンスの 不 連 続 につながるため トレースを 90 の 角 度 で 曲 げ 配 線 しないでください 45 で 配 線 するか 斜 角 を 付 けてください 差 動 ペアの 導 体 間 の 信 号 スキューを 最 小 限 に 抑 えてください 一 方 の 信 号 がペアの 他 方 よりも 速 く 到 達 すると 信 号 ペアの 間 に 位 相 差 が 生 まれ コモンモード ノイズを 放 射 する 原 因 となります デバイスのパッケージごとにバイパス コンデンサを 設 け 給 電 パターンとグラウンド 配 線 パター ンは 幅 広 で 短 くし (50Ω のパターン 寸 法 を 使 用 してはなりません ) ピンから 電 源 層 へのインダクタ ンスを 下 げるため 複 数 のビアを 介 して 接 続 します LVDS を 用 いた 設 計 の 詳 細 な 推 奨 事 項 のリストを 次 の 項 以 降 に 示 します いずれの 推 奨 事 項 もコス ト 効 率 が 高 く 実 装 も 容 易 です これら 推 奨 事 項 をガイドラインとして 使 用 すれば LVDS を 採 用 し たシステムの 性 能 最 適 化 と 開 発 期 間 の 短 縮 が 図 れます PCB の 設 計 1. 4 層 以 上 の PCB 基 板 を 使 用 してください 最 上 層 から 順 に LVDS 信 号 グラウンド 層 電 源 層 TTL 信 号 に 割 り 当 てます 高 速 回 路 の 設 計 では 通 常 V CC とグラウンドにそれぞれ 専 用 の 層 が 必 要 です 伝 送 線 路 インタコネクトで 管 理 された ( 既 知 の ) インピーダンスを 得 るには 均 一 なグラウンド 層 が 必 要 です 電 源 とグラウンドとの 層 間 隔 を 狭 くすると 高 周 波 領 域 で 優 れたバイパス コンデンサとしても 働 きます 2. ノイズの 多 いシングルエンド CMOS/TTL 信 号 は LVD 線 路 にクロストークを 与 える 可 能 性 が あるため 高 速 エッジ レートの CMOS/TTL 信 号 は LVDS 信 号 から 離 して 配 線 してくださ い TTL 信 号 と LVDS 信 号 を 別 々の 層 に 割 り 当 て その 間 に 電 源 層 とグラウンド 層 を 挿 入 して 分 離 する 層 構 成 が 適 切 です 3. ドライバとレシーバは LVDS ポート 側 のコネクタの 可 能 な 限 り 近 くに 配 置 してください 差 動 線 路 に 対 するボード 上 ノイズの 重 畳 が 抑 えられるとともに ノイズがケーブル イン タコネクトを 介 して EMI として 放 出 されることを 防 ぎます 最 短 配 線 は 線 路 間 スキューを 小 さくする 効 果 もあります スキューは 配 線 長 に 比 例 して 増 える 性 質 があるので 配 線 長 を 短 くすればスキューを 抑 えられます コネクタをわたる 高 速 信 号 のルーティングについ ては 第 5 章 を 参 照 してください 4. 各 LVDS デバイスは 電 源 に 対 してバイパスを 行 い さらにボード 全 体 にバルク コンデン サを 分 散 して 配 置 してください 表 面 実 装 タイプのコンデンサを 電 源 ピンとグラウンド ピンのすぐ 近 くに 配 置 すると 最 も 高 い 効 果 が 得 られます LVDS.national.com/jpn 3-1

24 LVDS オーナーズ マニュアル 電 源 : 電 源 とグラウンド 間 に 35V 容 量 4.7µF ~ 10µF のタンタル コンデンサを 設 けると 良 好 な 効 果 が 得 られます 電 源 / グラウンドに 含 まれる 最 大 周 波 数 成 分 ( 通 常 100 ~ 300MHz) をフィルタ できるコンデンサ 容 量 を 選 択 してください 周 波 数 成 分 はバイパス コンデンサの 両 端 に 印 加 され ている V CC のノイズ スペクトルを 調 べればわかります タンタル コンデンサの 電 圧 定 格 は 重 要 で V CC の 5 倍 以 上 を 選 択 しなければなりません なお 一 部 の 電 解 コンデンサも タンタルと 同 様 に 優 れた 性 能 を 発 揮 します V CC ピン : 可 能 であれば 多 層 セラミック (MLC) タイプの 表 面 実 装 コンデンサ (0.1µF ~ 0.01µF) を 1 個 か 2 個 並 列 に 各 V CC ピンとグラウンド 層 との 間 に 接 続 してください コンデンサを V CC ピンの できるだけ 近 くに 配 置 すると 適 切 な 効 果 が 得 られ バイパス コンデンサの 周 波 数 応 答 を 劣 化 させ る 寄 生 効 果 が 抑 えられます ビット 幅 が 広 い (4 ビット 超 ) LVDS デバイスや PLL を 内 蔵 する ( た とえばチャネル リンクや FPD リンクなどの ) LVDS デバイスには 電 源 の 種 類 ごとに 最 低 でも 2 個 のコンデンサを 設 けてください 他 の LVDS デバイスは 通 常 0.1µF のコンデンサ 1 個 で 十 分 で す 要 は 適 切 な 電 源 バイパス 手 法 を 用 いることです EMI に 関 連 するさまざまな 問 題 は 多 くは 電 源 とグラウンドの 供 給 問 題 に 端 を 発 します 電 源 層 と グラウンド 層 を 安 定 した 状 態 に 維 持 すれば EMI は 大 幅 に 小 さくなります 一 般 的 な 経 験 則 とし て 電 源 ラインのノイズを 100mV 未 満 に 抑 えることが 電 源 バイパスの 目 標 となります ただし 電 源 ラインのノイズに 対 し より 厳 しい 要 件 を 持 つデバイスも 一 部 に 存 在 します デバイスの 正 確 な 要 件 についてはそれぞれのデータシートを 参 照 してください 1. 電 源 とグラウンドの 目 的 は 低 インピーダンス 点 を 構 成 することであり 幅 広 の ( 低 インピー ダンス ) トレースを 用 いてください 電 源 とグラウンドのトレースに 50Ω の 設 計 ルールを 適 用 してはなりません 2. PCB のグラウンド リターン パスは 短 く かつ 幅 広 に 維 持 します 写 像 されるリターン 電 流 に 対 して 最 小 のループを 構 成 するようにリターン パスを 設 けてください 3. ケーブルには 2 つのシステムのグラウンド 間 を 接 続 したグラウンド リターン ワイヤが 必 要 です このパスによって 既 知 の 短 いワイヤ 上 にコモンモード 電 流 のリターンが 形 成 さ れます 特 に 筐 体 間 接 続 アプリケーションで グラウンド 電 位 のシフトをグラウンド リ ターンによって 抑 えようとする 場 合 に 重 要 です 6.3 項 を 参 照 してください 4. バイパス コンデンサのパッドと 電 源 またはグラウンドへの 接 続 には 2 個 のビアを 使 用 し てインダクタンス 成 分 の 影 響 を 最 小 限 に 抑 えてください サイズが 小 さくデバイス ピン のすぐ 近 くに 配 置 できる 表 面 実 装 タイプのコンデンサが 適 切 です トレース 1. 差 動 線 路 には エッジ 結 合 ( 端 面 の 結 合 ) マイクロストリップ ライン エッジ 結 合 スト リップライン ブロードサイド ( 長 手 方 向 の 結 合 ) ストリップラインのいずれもが 適 してい ます 2. LVDS 信 号 のトレース 同 士 は 結 合 度 を 高 くし また 差 動 インピーダンスが 100Ω となるよ うに 設 計 しなければなりません 項 を 参 照 してください 3. エッジ 結 合 マイクロストリップ ラインは 差 動 インピーダンス Z O を 高 く (100 ~ 150Ω) 設 定 できる 特 長 を 持 っています また ビアを 介 さずにコネクタ パッドからデバイス パッドまで 配 線 することも 可 能 で より クリーン なインタコネクトを 実 現 できます 一 方 マイクロストリップ ラインは 原 理 的 に PCB の 表 面 層 にしか 配 線 ができないため 配 線 チャネル 数 が 限 られます 4. ストリップラインはエッジ 結 合 かブロードサイド 結 合 のいずれかで 構 成 します ストリッ プラインは 層 間 に 構 成 されますが 通 常 はグラウンド 層 で 挟 まれるため 高 いシールド 性 能 が 得 られます ノイズの 放 射 と 線 路 へのノイズの 重 畳 が 抑 えられます ただし 線 路 間 の 接 続 にはビアが 必 要 です 3-2 National Semiconductor s LVDS Group

25 高 速 回 路 設 計 差 動 配 線 パターン 1. 伝 送 メディア (たとえばケーブル ) および 終 端 抵 抗 の 差 動 インピーダンスとの 整 合 を 図 っ た 管 理 したインピーダンスを 持 つPCBトレースを 使 用 してください 差 動 ペアのトレース は IC の 出 力 ピンを 出 た 直 後 から 可 能 なかぎり 近 接 させてルーティングしてください 反 射 が 抑 えられ また ノイズはコモンモードとして 重 畳 するようになります トレース を 近 接 させた 方 が 磁 界 を 打 ち 消 す 働 きが 強 くなるため 間 隔 1mm の 信 号 は 間 隔 3mm の 信 号 にくらべて 放 射 量 は 大 幅 に 小 さくなります また 差 動 線 路 上 に 励 起 されるノイズは そのほとんどがレシーバで 除 去 されるコモンモードとなります t W S W + - t W S W ε r h + - h LVDS-013 図 3.1. マイクロストリップ ラインとストリップラインの 差 動 配 線 特 定 の 差 動 インピーダンス Z O (Z DIFF ) を 持 つエッジ 結 合 線 路 を 設 計 する 場 合 は トレース 幅 W を 変 えて Z DIFF を 調 整 することが 適 切 です PCB メーカーが 規 定 する 最 小 トレース 間 隔 S を 変 更 することは 推 奨 しません ナショナルでは 伝 送 線 路 設 計 ツールとして RAPIDESIGNER 計 算 尺 を 提 供 しており ウェブサイトから 申 し 込 めるようになっています (RAIDESIGNER で 検 索 してくだ さい ) またはナショナルのサポート 部 門 までお 問 い 合 わせください インピーダンス Z O と Z DIFF の 計 算 には RAPIDESIGNER 計 算 尺 ( メートル 法 に 対 応 した LIT# かヤードポンド 法 に 対 応 した LIT# ) と 説 明 書 であるアプリケーション ノート AN-905 (LIT# ) を 使 用 するか エッジ 結 合 差 動 線 路 に 対 応 した 次 の 計 算 式 を 使 用 します 注 : エッジ 結 合 ストリップラインで S<12mil の 密 結 合 線 路 の 場 合 は 上 式 の の 項 を に 置 き 換 えてくださ い LVDS の 差 動 配 線 にはブロードサイド 結 合 ( 異 なる 層 間 での 長 手 方 向 の 結 合 ) を 用 いた 構 成 を 使 用 し てもかまいません 配 線 寸 法 を 図 3.2. に 示 します ブロードサイド 結 合 を 用 いたストリップライン は 通 常 は 単 一 のルーティング チャネルしか 占 有 しないため コネクタ ピン 領 域 のルーティン グを 緩 和 できる 余 地 があり バックプレーン 設 計 に 適 しています ブロードサイド 結 合 ストリップラインのインピーダンスを 直 接 的 に 求 める 計 算 式 はありません 代 わりにフールド ソルバを 使 用 してください LVDS.national.com/jpn 3-3

26 LVDS オーナーズ マニュアル W h t S ε r h LVDS-020 図 3.2. ブロードサイド 結 合 ストリップライン 計 算 では S h W t のすべてについて 同 じ 単 位 を 使 用 してください ( たとえば すべて mil cm mm など ) 重 要 な 注 意 : Z DIFF の 式 は 経 験 データに 基 づいており 結 果 は 実 際 の 値 と 異 なる 場 合 があります 精 度 に 関 する 情 報 と 適 用 範 囲 についてはアプリケーション ノート AN-905 を 参 照 してください プリント 基 板 (PCB) に 使 われる 各 種 材 料 の 一 般 的 な 比 誘 電 率 (εr) の 値 を 表 3.1. に 示 します 使 用 予 定 の 特 定 材 料 の 正 確 な 比 誘 電 率 は PCB メーカーに 問 い 合 わせてください LVDS を 使 用 するほとん どのアプリケーションには 広 く 使 われている FR-4 PCB 材 が 適 用 可 能 です GETEK は 価 格 こそ FR-4 の 約 1.5 倍 ですが 1000MHz を 超 える 回 路 に 向 いています また ε r は 単 一 ボード 内 で 必 ずし も 一 定 ではないので 注 意 してください FR-4 PCB の 場 合 1 枚 の 基 板 内 で εr が 10% 程 度 ばらつく ことは 珍 しくなく 結 果 としてスキューに 影 響 します 差 動 線 路 ペアを 近 接 させてルーティングす べき 理 由 の 1 つです 表 3.1. PCB 材 料 の 特 性 PCB 材 比 誘 電 率 (εr) 損 失 正 接 空 気 PTFE ( テフロン ) 2.1~ ~ BT 樹 脂 2.9 ~ ~ ポリイミド 2.8 ~ ~ 0.02 シリカ ( 石 英 ) 3.8~ ~ ポリイミド / ガラス 3.8 ~ エポキシ / ガラス (FR-4) 4.1 ~ ~ 0.02 GETEK 3.8 ~ ~ (1MHz) ROGERS4350 コア 3.48± G 23 C ROGERS4403 プリプレグ 3.17± G 23 C 2. スキューを 最 小 限 に 抑 えるためトレース ペアの 電 気 長 を 揃 えてください ペア 信 号 間 の スキューは 信 号 間 の 位 相 差 となって 現 れます 位 相 差 は 差 動 信 号 の 利 点 である 磁 界 の 打 ち 消 し 効 果 を 半 減 させ 結 果 として EMI が 発 生 します ( 伝 搬 速 度 は v = c/εr で c ( 光 速 ) = mm/ps あるいは in./ps です ) 一 般 的 な 設 計 ルールとして ペア 間 の 長 さを 100mil 以 内 に 揃 える 必 要 があります 3-4 National Semiconductor s LVDS Group

27 高 速 回 路 設 計 3. 差 動 線 路 のルーティングを 自 動 配 線 機 能 に 頼 ってはなりません トレース 長 が 揃 っている か また 差 動 信 号 のペアとペアの 間 に 適 切 に 距 離 が 設 けられているか 注 意 深 く 確 認 して ください 4. ビアの 個 数 やインピーダンスの 不 連 続 箇 所 は 最 小 限 に 抑 えます 5. ルーティングでは 90 の 曲 げを 避 けます (インピーダンスの 不 連 続 が 生 じる) トレースの 方 向 を 変 えるときは 円 弧 か 45 の 斜 角 でルーティングしてください 6. トレースペアのトレース 間 隔 はできるだけ 狭 くして レシーバにおけるコモンモード 除 去 性 能 を 維 持 してください プリント 基 板 では トレース 間 隔 を 一 定 に 保 ち 差 動 インピー ダンスに 不 連 続 点 が 生 じないようにしてください 接 続 点 における 若 干 の 逸 脱 は 許 容 範 囲 です アンバランス ( 不 平 衡 ) をできるだけ 少 なく かつ できるだけ 小 さくすることが 鍵 となります 差 動 伝 送 は 平 衡 なインタコネクト 上 でこそ 最 善 の 動 作 が 得 られます 優 れ た 性 能 を 得 るために ペアの 両 方 の 線 路 をできるだけ 同 じにしてください 終 端 1. 使 用 する 伝 送 線 路 の 差 動 インピーダンスに 最 も 整 合 する 終 端 抵 抗 を 使 用 してください 一 対 一 構 成 では 90Ω ~ 130Ω となります 電 流 モード 出 力 は 適 切 な 差 動 電 圧 を 生 成 するために は 終 端 抵 抗 を 必 要 とします LVDS は 終 端 抵 抗 がない 状 態 での 動 作 は 想 定 していません 2. 通 常 は レシーバ 入 力 端 の 信 号 ペアをまたぐように 単 一 の 受 動 抵 抗 を 接 続 するだけで 十 分 です 3. 表 面 実 装 タイプの 抵 抗 が 終 端 抵 抗 として 最 適 です PCB のスタブ 長 部 品 のリード 長 レ シーバ 入 力 点 と 終 端 点 との 距 離 は いずれも 可 能 な 限 り 短 くしてください 終 端 抵 抗 から レシーバ 入 力 点 までの 距 離 は 7mm 未 満 ( 最 長 でも 12mm) にしてください 4. 推 奨 部 品 は 許 容 差 1% ~ 2% 品 です 反 射 の 観 点 から 10% のインピーダンス 不 整 合 は 5% の 反 射 につながる 点 に 留 意 してください 整 合 が 良 好 であれば 結 果 として 得 られる 性 能 も 良 好 になります 終 端 抵 抗 の 値 は インタコネクトの 差 動 インピーダンスの 公 称 値 に 整 合 させてください マルチドロップ / マルチポイント アプリケーションでは 最 大 負 荷 条 件 における 差 動 インピーダンスに 整 合 させてください 5. 必 要 に 応 じて 部 品 コストは 増 えるものの コモンモード ノイズをフィルタするため に 2 個 の 50Ω 抵 抗 にセンタータップ コンデンサを 接 続 する 終 端 方 法 を 採 用 してくださ い なお この 終 端 方 法 は 一 般 的 ではなく 必 ずしも 必 要 ではありません R/2 R C R/2 R=Z DIFF (100Ω 120Ω) C 50pF 1 2% LVDS-015 図 3.3. 一 般 的 な 差 動 終 端 方 法 LVDS.national.com/jpn 3-5

28 LVDS オーナーズ マニュアル S ルール トレース ペアの 端 面 と 端 面 の 間 隔 である S を 使 って 他 の 間 隔 を 決 める 方 法 です ペア 同 士 の 距 離 は >2S にする 差 動 ペアとTTL/CMOS 信 号 との 距 離 は 最 近 接 でも >3S にする グラウンド 層 を 挟 んで TTL/CMOS 信 号 を 別 の 層 に 配 置 するとさらによい ガード 用 グラウンド トレースやグラウンド パターンとは >2S の 距 離 をおく 3.2 EMI ( 電 磁 妨 害 ノイズ ) の 低 減 差 動 信 号 の 電 磁 放 射 データ レートがますます 高 くなる 一 方 で 電 磁 適 合 性 (EMC) の 規 制 も 一 層 厳 しさを 増 している 現 在 電 磁 放 射 は 大 きな 問 題 の 1 つとなっています TEM (transverse electromagnetic) 波 によって 伝 播 し シールドを 通 り 抜 ける 性 質 を 持 ち EMC 試 験 の 不 合 格 要 因 となる 遠 方 (far-field) 電 磁 界 放 射 は 一 般 にシステム 設 計 者 にとって 懸 念 材 料 の 1 つです 導 体 の 周 囲 に 生 じる 電 磁 界 は 電 圧 または 電 流 (LVDS ではいずれも 小 さい ) に 比 例 します 電 磁 界 は 周 囲 環 境 から 歪 みを 受 け また 周 囲 環 境 との 間 で 相 互 作 用 を 生 じるため EMI を 予 測 するのは 非 常 に 困 難 です しかし 電 磁 界 の 歪 みを 有 利 なものとして 逆 に 考 えることも 可 能 であり 密 結 合 の 差 動 線 路 がその 例 に 相 当 します ( + と - 信 号 が 互 いに 近 接 ) 図 3.4. に 示 す CMOS/TTL などのシングルエンド 線 路 では ほとんどすべての 電 気 力 線 が 導 体 から 自 由 に 放 散 していきます ある 種 の 構 造 はこのような 電 界 を 遮 蔽 する 能 力 を 持 っています しか し 一 部 の 電 界 は 装 置 を 通 り 抜 ける TEM 波 として 伝 搬 し EMI の 問 題 を 引 き 起 こすおそれがありま す a b LVDS-021 図 3.4. (a) は 結 合 により 電 磁 界 を 打 ち 消 す 差 動 信 号 (b) はシングルエンド 信 号 一 方 平 衡 な 差 動 線 路 には 大 きさが 等 しく 反 対 向 きの 信 号 ( 奇 モード ) が 流 れています すなわ ち 同 心 円 状 の 磁 力 線 は 打 ち 消 す 方 向 に 働 き また 同 心 円 状 の 電 気 力 線 ( 図 3.4. (a)) は 結 合 するよ うに 働 きます 結 合 した 電 界 は 縛 り 付 け られているため 導 体 近 傍 を 超 えて TEM 波 として 伝 搬 していけません 遠 方 に 到 達 できるのは 浮 遊 フリンジ 電 界 のみです したがって シングルエン ド 信 号 に 比 べて 結 合 差 動 信 号 では TEM 波 として 伝 播 できる 電 磁 界 エネルギーが 非 常 に 小 さくな ります + と - が 近 いほど 結 合 が 強 くなり 良 好 な 結 果 につながります 3-6 National Semiconductor s LVDS Group

29 高 速 回 路 設 計 a b c LVDS-016 図 3.5. 差 動 線 路 における (a) は 偶 モードまたはコモンモード 信 号 (b) 向 きが 反 対 で 値 が 等 しい 理 想 的 な 奇 モード 信 号 (c) 不 平 衡 信 号 正 負 両 方 ( + と - ) の 導 体 の 電 圧 と 電 流 は 常 に 反 対 向 きに 等 しいとは 限 りません LVDS では DC 電 流 が 図 3.5. (a) のように 同 一 方 向 に 流 れることは 決 してありませんが 理 想 状 態 (b) に 対 し 条 件 によっては 不 平 衡 状 態 (c) になるときがあり 得 ます 不 平 衡 状 態 が 発 生 すると 2 つの 導 体 の 電 界 強 度 に 差 が 生 じフリンジ 電 界 強 度 が 増 大 します 増 加 したフリンジ 電 界 は TEM 波 とし て 逃 げていく 場 合 があり EMI の 増 大 を 引 き 起 こします PCB トレースで 構 成 されるマイクロストリップとストリップラインでも 図 3.6. に 示 すように 同 じような 現 象 が 発 生 します 同 図 の (a) と (b) は それぞれ 理 想 的 なマイクロストリップおよびス トリップラインです 図 から マイクロストリップ ラインでは 導 体 下 方 向 に 発 生 する 電 気 力 線 に 対 してグラウンド 層 が 結 合 する 働 きを 持 ち 多 くの 電 気 力 線 を 捕 捉 して EMI の 低 減 に 寄 与 して いるのがわかります ストリップラインでは 導 体 がほぼ 完 全 に 遮 蔽 されるため EMI は 大 幅 に 低 減 されますが 伝 搬 速 度 の 低 下 ( マイクロストリップ ラインに 比 べて 約 40% 遅 い ) PCB 層 数 が 必 要 ビアが 必 要 100Ω の Z O (Z DIFF ) の 実 現 が 難 しい などの 短 所 を 抱 えています マイクロストリップ ラインに 図 3.6. (d) のようにガードバンド トレースを 追 加 すると 伝 搬 速 度 に 大 きな 影 響 を 与 えずにシールド 効 果 を 高 められます その 際 ガードバンド トレース ( グラ ウンド 電 位 が 望 ましい ) は 同 図 (d) のように 差 動 ペアの 両 側 に 設 けてください 同 図 (c) のよう に 片 側 にだけガードバンド トレース ( あるいは 他 のトレース ) を 走 らせると EMI の 増 加 を 招 く 不 平 衡 状 態 が 生 まれます グラウンド 電 位 のガードバンド トレースは 規 則 的 な 間 隔 (1/4 波 長 未 満 ) で 多 めのビアを 設 けて 下 側 のグラウンド 層 に 接 続 し また 差 動 ペアとは 2S 以 上 の 距 離 を 離 し てください LVDS.national.com/jpn 3-7

30 LVDS オーナーズ マニュアル (a) (b) (c) (d) LVDS-010 図 3.6. (a) マイクロストリップによる 理 想 的 な 差 動 信 号 (b) 同 ストリップライン (c) 不 平 衡 シールド トレースによる 悪 影 響 (d) 平 衡 シールド トレースによる 好 影 響 EMI を 低 減 する 設 計 手 法 これまで 述 べてきたように EMI の 少 ない 差 動 信 号 を 設 計 する 際 には (1) それぞれのペアで 2 本 の 導 体 を 密 結 合 させること (2) それぞれのペアの 導 体 間 の 不 平 衡 を 最 小 限 に 抑 えること の 2 点 が 重 要 です まず 密 結 合 の 問 題 について 説 明 します 十 分 な 結 合 を 得 るには 導 体 ペアの 間 隔 を 図 3.7. に 示 すようにできるだけ 狭 くします ( 伝 送 線 路 のイ ンピーダンス 整 合 も 維 持 しなければならない 点 に 注 意 してください ) 電 源 やグラウンドの 層 / パ ターンと 導 体 との 間 隔 を 導 体 ペアの 間 隔 よりも 狭 くしてはなりません 各 導 体 と 電 源 / グラウンド 層 との 間 の 結 合 よりも 導 体 間 同 士 の 結 合 を 強 くする 実 装 方 法 です S<W S<h x を 2S 以 上 かつ 2W 以 上 に 保 つことが 適 切 な 設 計 ルールとなります PCB メーカーによって 許 容 される 最 小 間 隔 S を 使 用 し トレース 幅 W を 変 えて 差 動 インピーダンスの 調 節 が 望 まれます 3-8 National Semiconductor s LVDS Group

31 高 速 回 路 設 計 t W S W x + - t W S W x εr h + - S<W S<h x 2W & 2S 図 3.7. 差 動 配 線 の 結 合 LVDS-017 電 磁 界 を 十 分 に 結 合 ( キャンセル ) させるため + 信 号 と - 信 号 との 間 隔 を 可 能 な 限 り 狭 くしてください 前 述 のとおり 導 体 ペア 間 の 結 合 度 が 高 いほど 電 磁 界 放 射 は 小 さくなります さ らに 外 乱 の 電 磁 界 ノイズに 対 して 回 路 の 耐 性 が 高 くなります 導 体 に 重 畳 されるノイズはレシー バで 除 去 されるコモンモード ノイズになります 差 動 ペアは 電 流 ループを 構 成 しますが 導 体 間 隔 を 狭 すればアンテナ ループの 面 積 も 小 さくなります EMI 図 3.8. EMI の 低 減 に 加 えて 外 部 ノイズへの 耐 性 も 高 める 密 結 合 LVDS-011 EMI の 低 減 を 図 るには 不 平 衡 な 状 態 を 排 除 することも 重 要 です 電 磁 界 は 同 一 システムにある 物 体 間 の 複 雑 な 相 互 作 用 によって 発 生 するため 予 測 するのは ( 動 的 な 場 合 は 特 に ) 困 難 ですが 一 部 は 一 般 化 が 可 能 です 信 号 配 線 のインピーダンスは 厳 密 に 管 理 しなければなりません ペアとなっ ている 2 本 の 配 線 インピーダンスが 同 一 でないと 不 平 衡 な 状 態 を 生 み 出 します 一 方 の 信 号 の 電 圧 と 電 磁 界 と ペアを 構 成 する 他 方 の 電 圧 と 電 磁 界 に 差 が 生 じてしまいます 結 果 としてフリンジ 電 界 が 強 くなり これまで 述 べたように EMI が 増 える 結 果 となります 従 うべき 基 本 ルールは 次 のとおりです すなわち 差 動 線 路 の 近 傍 で 止 むを 得 ず 不 連 続 が 生 じる 場 合 は 差 動 ペアを 構 成 する 両 方 の 信 号 に 等 しく 不 連 続 となるようにしてください 不 連 続 要 因 に は たとえば 部 品 ビア 電 源 層 とグラウンド 層 PCB トレースなどがあります 重 要 なキー ワードが バランス ( 平 衡 ) であることを 忘 れないでください LVDS.national.com/jpn 3-9

32 LVDS オーナーズ マニュアル IC ( ) LVDS-022 図 3.9. EMI の 増 加 を 招 くさまざまな 差 動 信 号 の 不 平 衡 要 因 を 含 む PCB のレイアウト 例 設 備 の 整 った EMI テスト 室 がない 限 り 不 平 衡 状 態 に 起 因 する 電 磁 界 は 残 念 ながら 簡 単 には 測 定 できません ただし 波 形 だけであれば 測 定 は 容 易 です 電 磁 界 の 大 きさは 所 与 の 時 点 における 電 圧 / 電 流 の 大 きさに 比 例 します 信 号 の 時 間 的 属 性 ( たとえば 遅 延 や 速 度 ) か 振 幅 的 属 性 ( たとえば 減 衰 ) またはその 両 方 に 作 用 する 要 因 は EMI の 増 加 を 招 きますが 一 方 でオシロスコープでの 観 測 が 可 能 です 図 に オシロスコープで 容 易 に 捕 捉 される 波 形 から 遠 方 電 磁 界 EMI を 推 測 する 方 法 を 示 します まず (b) 電 磁 界 を 打 ち 消 している 理 想 的 な 差 動 信 号 と (a) シングルエンド 信 号 を 比 較 してみます 実 際 の 差 動 信 号 は 理 想 的 であるとは 考 えられず スキュー 不 揃 いのパルス 幅 やエッジ レート コモンモード ノイズ 異 なる 減 衰 などが 混 在 した 状 態 です こうした 要 因 は ある 所 与 の 瞬 間 に おいて 電 磁 界 の 相 対 的 な 大 きさに 影 響 を 与 え 結 果 として 差 動 信 号 による 打 ち 消 す 利 点 が 薄 れ EMI の 増 大 につながるおそれがあります したがって EMI を 最 小 限 に 抑 えるには ペアの 一 方 の 導 体 の 波 形 と 他 方 の 波 形 とが 鏡 に 映 したように 同 じでなければなりません 3-10 National Semiconductor s LVDS Group

33 高 速 回 路 設 計 (a) (b) (c) (d) (e) (f) LVDS-018 図 さまざまな 条 件 下 における 簡 略 化 した 遠 方 電 磁 界 放 射 強 度 LVDS.national.com/jpn 3-11

34 LVDS オーナーズ マニュアル EMI テストの 結 果 以 下 に 示 す 図 は 密 結 合 差 動 信 号 と 非 結 合 差 動 信 号 で EMI の 発 生 量 の 差 異 を 測 定 するために 使 用 した PCB です 回 路 は 2 種 類 の LVDS 信 号 を 比 較 できるようになっています 一 方 はトレース 幅 よりも 狭 い 間 隔 (S<W) でルーティングした 配 線 ペアです もう 一 方 は 密 結 合 していない (S>>W) 配 線 ペアです なお 間 隔 が 広 い 配 線 ペアの 差 動 インピーダンスも 100Ω に 維 持 しています SMB EMI 1 (S=0.008" W=0.008") 100 W S PCB LVDS EMI 2 (S=0.040" W=0.010") 1 10dB (3.1 ) 100 HP LVDS-023 図 EMI 試 験 の 構 成 両 方 の 条 件 に 対 し 周 波 数 32.5MHz デューティ サイクル 50% のクロック 信 号 を 使 用 して 近 接 電 界 を 測 定 しました 図 のグラフは 非 結 合 となっている 条 件 2 の 電 界 強 度 の 測 定 結 果 で す 左 のグラフは 200MHz ~ 1GHz に 対 する 電 界 強 度 で 右 のグラフはそのうちの 30MHz ~ 300MHz に 対 して 詳 細 な 測 定 を 行 った 結 果 です 入 力 周 波 数 の 各 高 調 波 周 波 数 に 電 界 ノイズが スパイク として 現 れています 3-12 National Semiconductor s LVDS Group

35 高 速 回 路 設 計 図 非 結 合 信 号 の 近 接 電 界 強 度 ( 条 件 2) : 200MHz ~ 1GHz ( 条 件 2) : 30MHz ~ 300MHz 図 の 2 つのグラフは 差 動 ペアが 密 結 合 している 条 件 1 の 電 界 強 度 の 測 定 結 果 です 高 調 波 成 分 が 大 幅 に 少 ないことがわかります 図 密 結 合 信 号 の 近 接 電 界 強 度 ( 条 件 1) : 200MHz ~ 1GHz ( 条 件 1) : 30MHz ~ 300MHz 遠 方 電 界 の 点 でも 密 結 合 している 差 動 ペアは 電 界 同 士 が 結 合 しているため EMI 放 射 量 は 格 段 に 少 なくなるはずです 近 接 場 であっても 密 結 合 の 差 動 ペアが 発 生 する 電 界 強 度 はかなり 弱 くなっ ています 密 結 合 の 差 動 ペアが 生 み 出 す 電 界 強 度 は 非 結 合 ペアの 電 界 強 度 に 比 べて およそ 10dB ( 約 1/3 以 下 ) 弱 くなっています 以 上 の 試 験 結 果 から 次 の 2 点 がわかります 1. 差 動 信 号 を 使 用 した 方 がシングルエンド 信 号 を 使 用 するよりも 放 射 を 効 率 よく 低 減 でき る 2. 差 動 信 号 は EMI に 関 して 利 点 を 持 っているが 密 結 合 させないかぎり まったく 効 果 が 得 られないか 得 られたとしてもわずかである この 試 験 では 非 結 合 状 態 の LVDS 信 号 をシングルエンド 信 号 として 使 用 しました TTL や GTL などシングルエンド 信 号 の 多 くは LVDS に 比 べて 信 号 振 幅 も 電 流 もはるかに 大 きいため その EMI は 測 定 で 得 られた 値 よりもきわめて 大 きいことが 見 込 まれます LVDS.national.com/jpn 3-13

36 LVDS オーナーズ マニュアル グラウンド リターン パス 導 体 によって 運 ばれる 電 流 は システムの 一 部 を 介 して 戻 ってくる 反 対 向 きの 電 流 を 必 要 としま す リターン 電 流 はもっとも 抵 抗 の 小 さなパスを 流 れます また 高 速 信 号 のリターン 電 流 はイン ダクタンスが 最 も 小 さいパスを 通 って 戻 ります LVDS は 差 動 方 式 なので 差 動 ペアの 一 方 の 導 体 を 流 れた 信 号 電 流 はもう 一 方 の 導 体 を 通 って 戻 り 完 全 な 電 流 ループが 形 成 されます トレース ペア 間 の 間 隔 は 非 常 に 狭 いという 理 想 的 な 条 件 では 電 流 リターンのアンテナ ループ 面 積 はきわめて 小 さくなります しかし 実 際 の 信 号 はコ モンモード ノイズ 電 流 を 含 んでおり ノイズに 対 するリターン 電 流 も 流 れます コモンモード 電 流 はグラウンドとの 間 で 容 量 性 結 合 を 生 じ インダクタンスの 最 も 小 さいパスを 通 ってドライバに 戻 ります そのため 差 動 信 号 を 採 用 したシステムでは ドライバとレシーバ 間 のグラウンド 電 流 リターン パスを 短 くしなければなりません PCB の 場 合 LVDS 信 号 の 下 に 設 けた 一 様 で 切 れ 目 のないグラウンド 層 が 最 良 の 電 流 リターン パ スになります グラウンド 層 があれば コモンモード ( 偶 モード ) 電 流 は LVDS 信 号 の 直 下 を 流 れ ます このようにして 形 成 された 密 結 合 のパスはインピーダンスが 最 も 低 いパスで 電 流 ループの 面 積 は 最 小 になります ケーブルについても 同 様 で ドライバとレシーバ 間 に 1 本 以 上 のグラウンド リターン ワイヤを 設 けてください 信 号 ペアとリターン パスとを 近 接 させることで 電 流 ループの 面 積 が 小 さくな ります ( ケーブルについては 第 6 章 を 参 照 してください ) ケーブルのシールド シールドは EMI を 低 減 する 効 率 のよい 方 法 の 1 つです シールドは 可 能 であれば ドライバの 筐 体 とレシーバの 筐 体 の 両 方 に 直 接 接 続 します ただし シールドの 目 的 は 大 量 のグラウンド リ ターン 電 流 を 扱 うことではありません 片 側 のシールドとグラウンドを 絶 縁 するフィルタ ネット ワークが 必 要 になる 場 合 があります ( 第 6 章 を 参 照 してください ) EMC のまとめ LVDS が 持 つ 低 EMI 特 性 を 活 かすには 各 差 動 ペアを 構 成 している 導 体 同 士 を (1) 密 結 合 させ かつ (2) バランス ( 平 衡 ) を 取 ることが 重 要 です インピーダンスは シングルエンドであっても 差 動 であっても 適 切 に 管 理 し 整 合 させなければなりません 3.3 AC 結 合 信 号 で AC 結 合 が 必 要 とされる 状 況 は 次 のとおりです 1. インタフェース ロジック ファミリの 入 力 スレッショルドが 異 なる 場 合 に DC バイアス 電 圧 を 変 更 したい (LVDS と PECL が 使 われているシステムでの 光 学 カプラなど ) 2. 挿 抜 可 能 なインタフェースでドライバを 短 絡 から 保 護 したい (ネットワーク スイッチやルー タで 使 用 されているカード 上 のドライバなど ) 3. グラウンド 電 位 が 異 なるシステム 間 で DC 電 流 を 防 止 したい アプリケーションがこれら 要 件 のどれか 1 つにでも 該 当 し かつ エンコード データが 使 えるの であれば AC 結 合 を 採 用 します AC 結 合 を 正 しく 機 能 させるには 1 と 0 の 個 数 が 等 しい (DC 的 にバランスした 信 号 ) エンコード データを 使 用 します たとえば デューティ サイクル 50% のクロック 8b/10b コード マン チェスタ コード 化 データなどは すべて DC 的 にバランスが 取 れた 信 号 です AC 結 合 を 使 用 す る 場 合 は 必 ず DC バランスの 取 れたデータを 使 用 してください 3-14 National Semiconductor s LVDS Group

37 高 速 回 路 設 計 DC バランスが 適 切 に 取 れている 信 号 は 通 常 データの 有 効 スペクトラム 成 分 は 低 周 波 カットオ フを 持 ち また 情 報 伝 達 でのスペクトラム 劣 化 はわずかと 考 えられます ただし AC 結 合 回 路 を 流 れたときに 信 号 の 一 部 はフィルタリングされてしまうことを 見 込 んでおく 必 要 があります AC 結 合 では LVDS など 多 くのロジック ファミリで 使 用 される DC バイアス 電 圧 は 除 去 されま す 多 くのデバイスは 広 いコモンモード 動 作 電 圧 範 囲 を 持 っていますが デバイスのデータシート で 確 認 が 必 要 です コモンモードの 動 作 範 囲 に 制 約 があるデバイスでは 単 純 なバイアス 回 路 を 使 って 信 号 を 適 切 にバイアスします もっとも 広 く 使 用 されている AC 結 合 は DC 遮 断 コンデンサを 用 いた 方 法 です 高 速 回 路 を 設 計 す る 場 合 は パッケージの 寄 生 容 量 による 信 号 の 劣 化 を 抑 えるために 入 手 可 能 なもののうち 最 も 小 型 のパッケージ 品 を 選 択 してください 図 AC 結 合 LVDS-091 適 切 なコンデンサ 容 量 を 求 めるには 次 の 近 似 値 を 用 います Tb = ビット 周 期 R = インピーダンス N = 同 一 ビットが 連 続 する 最 大 回 数 AC 結 合 信 号 の 振 幅 はコンデンサを 通 過 することで 若 干 減 衰 します 上 記 の 式 では 0.25dB の 低 下 を 見 込 んでいます 高 速 アプリケーションに 広 く 使 用 されているコンデンサの 容 量 は 0.1µF と 0.01µF です このよう なコンデンサは 入 手 が 容 易 で また 広 い 範 囲 の 高 速 データ レートをサポートできる 十 分 な 帯 域 を 持 っています エッジ レートがきわめて 高 速 なアプリケーションでは AC 結 合 コンデンサをレシーバ 入 力 の 近 傍 に 配 置 してエッジ レートを 遅 くしたほうが 良 好 な 性 能 が 得 られる 場 合 があります 一 般 的 に 同 一 PCB 上 のロジック インタコネクトには 単 純 な DC 結 合 インタフェースが 適 切 で す AC 結 合 を 使 用 しなければならない 場 合 は データが DC 的 にバランスされているのを 確 認 し てください LVDS.national.com/jpn 3-15

38

39 第 4 章 LVDS を 使 用 した 設 計 4.1 はじめに LVDS の 出 力 は ノイズ /EMI の 発 生 を 抑 える 小 振 幅 差 動 方 式 約 3.5mA の 電 流 モード 動 作 を 特 長 としていますが 一 方 で 信 号 は 1ns 未 満 で 遷 移 ( 立 ち 上 がり / 立 ち 下 がり ) するため インタコネ クトは 基 本 的 に 伝 送 線 路 として 振 る 舞 います このため 設 計 者 には 超 高 速 ボード 設 計 と 差 動 信 号 理 論 の 知 識 が 要 求 されます ただし 高 速 差 動 ボードの 設 計 はそれほど 難 しくはなく また 高 いコ ストがかかるわけでもありません 第 3 章 に 概 要 を 述 べた 技 術 的 内 容 を 理 解 してから 設 計 に 着 手 し てください 高 速 レイアウト 第 3 章 を 参 照 してください 未 使 用 ピン LVDS 入 力 実 際 に 使 用 する 部 品 のデータシートに 指 示 のない 限 り LVDS レシーバの 未 使 用 ピン は 開 放 ( フローティング ) のままとします 内 蔵 のフェイルセーフ 機 能 が 十 分 なバイアスを 与 え 出 力 を 既 知 の 状 態 を 確 定 させます レシーバの 未 使 用 ピンからノイズ 源 になるようなケーブルや 長 い PCB トレースを 引 き 出 したりせずに デバイスに 近 いところでフローティングにしてくださ い LVDS は 高 速 かつ 高 ゲインのデバイスなので わずかのノイズでも 差 動 信 号 として 拾 うとレ シーバは 応 答 します 出 力 に 意 味 のない 遷 移 が 発 生 し 消 費 電 力 の 増 加 も 招 きます LVDS と TTL 出 力 電 力 を 節 減 するために LVDS および TTL の 未 使 用 出 力 ピンは すべて 開 放 ( フローティング ) のままにします これらのピンはグラウンドには 接 続 しないでください TTL 入 力 未 使 用 の TTL トランスミッタ / ドライバの 入 力 および 制 御 / イネーブル 信 号 は 電 源 か グラウンドに 接 続 するか データシートに 記 載 がある 場 合 は 開 放 ( フロート ) のままとします 一 部 のデバイスは ピンにバイアスを 印 加 するプルダウン ( またはプルアップ ) 素 子 を 内 蔵 していま す 繰 返 しになりますが 各 デバイスの 機 能 については 該 当 するデータシートを 参 照 してくださ い 通 常 この 種 の 情 報 はピン 説 明 の 表 に 記 載 されています LVDS 伝 送 線 路 のプロービング LVDS 伝 送 線 路 の 波 形 観 測 では 必 ず 高 インピーダンス (>100kΩ) 低 容 量 (<0.5pF) 広 帯 域 (>1GHz) のプローブを 選 択 してください プロービングが 適 切 でないと 正 しい 測 定 結 果 が 得 られま せん LVDS は 対 グラウンドが 50Ω の 負 荷 を 接 続 した 状 態 での 動 作 は 考 慮 されていません した がってオシロスコープの 入 力 インピーダンスを 50Ω に 設 定 して 使 用 すると ドライバが 出 力 する 差 動 信 号 やオフセット 電 圧 に 変 化 が 生 じます 整 合 や 平 衡 の 問 題 を 考 えた 場 合 は 標 準 的 なオシロスコープ プローブを 2 本 使 用 するよりも 差 動 プローブの 使 用 が 望 まれます 信 号 を 適 切 に 観 測 できるように 十 分 な 帯 域 を 備 えたプローブと オシロスコープの 組 み 合 わせを 使 用 してください Tektronix と Agilent (HP) の 両 社 が LVDS 信 号 の 観 測 に 適 したプローブを 製 造 しています ( 第 7 章 を 参 照 ) LVDS I/O への 負 荷 接 続 平 衡 状 態 の 維 持 LVDS 出 力 に 駆 動 能 力 である 3.5mA を 超 えるような 重 い 負 荷 となるデバイスを 接 続 しないよう にしてください ESD 保 護 回 路 を 追 加 する 場 合 は LVDS 出 力 に 対 して 重 い 負 荷 とはならないよう な 素 子 を 使 用 してください たとえば ポリマーによって ESD 保 護 が 施 されたコネクタが 選 択 肢 の 1 つです また 差 動 信 号 間 の 平 衡 を 崩 さないようにしてください 差 動 ペアを 構 成 している 両 線 路 はともに 同 じように 扱 ってください LVDS.national.com/jpn 4-1

40 LVDS オーナーズ マニュアル 4.2 良 い 設 計 手 法 と 悪 い 設 計 手 法 の 結 果 比 較 インピーダンスの 不 整 合 設 計 者 が 新 しくシステムを 設 計 する 際 に 市 販 のケーブルやコネクタを 特 に 考 慮 もなく 選 択 したり 50Ω の 自 動 配 線 を 行 うのは それほど 珍 しくありません このような 設 計 で 動 作 する LVDS システ ムもありますが ノイズ 問 題 を 引 き 起 こす 可 能 性 が 高 くなります ここでもう 一 度 LVDS は 差 動 方 式 であることと 小 振 幅 と 電 流 モード 出 力 回 路 を 採 用 してノイズの 低 減 を 図 っていることを 思 い 出 してください ただし 遷 移 時 間 はきわめて 高 速 です すなわち インピーダンス 整 合 ( 特 に 差 動 インピーダンス 整 合 ) がとても 重 要 です 市 販 のコネクタや 安 価 なフラット ケーブルは 高 速 信 号 ( 特 に 差 動 信 号 ) には 意 味 がなく また インピーダンスも 管 理 されていません このようなシステムを 時 間 領 域 反 射 率 計 (TDR) を 用 いて 測 定 した 結 果 を 図 4.1. に 示 します これか ら インピーダンスは 整 合 もしていなければ 管 理 もされていないことがよくわかります この 測 定 結 果 はワースト ケースではありません 一 般 的 な TTL 設 計 手 法 を 用 いて 設 計 を 行 った 場 合 の 代 表 的 な 例 です インピーダンス 不 整 合 により 生 じた 反 射 からノイズと EMI が 大 量 に 放 出 されま す 80Ω TDR PCB (50Ω PCB 53Ω PCB 60Ω 70Ω TDR 60Ω TDR 50Ω TDR LVDS-025 図 4.1. インピーダンスが 整 合 していない 伝 送 メディアでの TDR 測 定 結 果 4-2 National Semiconductor s LVDS Group

41 LVDS を 使 用 した 設 計 図 4.2. は 第 3 章 で 述 べた 高 速 差 動 回 路 の 設 計 手 法 の 多 くに 則 って 適 切 に 設 計 を 行 った 回 路 での 測 定 波 形 です TDR で 差 動 インピーダンスを 測 定 したグラフはほぼ 平 坦 で ノイズも 大 幅 に 減 っ ています PCB/ CAT3 /PCB 100Ω 80Ω TDR 70Ω TDR 60Ω TDR 50Ω TDR LVDS-035 図 4.2. インピーダンスの 均 一 化 で 得 られる 良 好 な 性 能 LVDS.national.com/jpn 4-3

42 LVDS オーナーズ マニュアル TTL 信 号 と LVDS 信 号 間 のクロストーク 図 4.3. と 図 4.4. に LVDS 線 路 に 結 合 した TTL 信 号 の 影 響 を 示 します 図 4.3. は 結 合 していないと きの LVDS の 波 形 です その 下 の 図 4.4. は 周 波 数 25MHz 振 幅 0V ~ 3V の TTL 信 号 が 4 イン チ 隣 を 走 っている LVDS 信 号 に 重 畳 したときの 波 形 を 示 しています LVDS 波 形 が TTL 信 号 によっ て 変 調 されているのがわかります LVDS の 差 動 ペアを 構 成 する 2 本 の 信 号 が 同 じ 影 響 を 受 けるわ けではなく TTL トレースに 近 い 方 が 大 きな 影 響 を 受 ける 点 に 注 意 してください クロストーク 量 の 差 はコモンモードにならないためレシーバでは 除 去 されません レシーバを 誤 ってトリガするほ ど 大 きなノイズとはなりませんが LVDS の 信 号 品 質 の 劣 化 とノイズ マージンが 低 下 します コ モンモード ノイズはレシーバによって 除 去 されますが EMI として 放 射 される 可 能 性 がありま す A LVDS B A-B LVDS-027 図 4.3. クロストークのない LVDS 信 号 A LVDS B A-B LVDS-035 図 4.4. TTL からクロストークを 受 けた LVDS 信 号 4-4 National Semiconductor s LVDS Group

43 LVDS を 使 用 した 設 計 LVDS バックプレーン ドライバと FPGA とのインタフェース FPGA ( または ASIC) と LVDS IC とのインタフェースは 単 純 ではあるものの 多 くの 設 計 者 が 誤 りを 犯 しがちです FPGA のシングルエンド 信 号 が LVDS トランスミッタの LVTTL I/O を 過 度 に 駆 動 する 点 に 注 意 が 必 要 です 多 くの FPGA の 出 力 は 短 いバックプレーンを 駆 動 できるだけの 能 力 を 有 します このため 出 力 ドラ イバは プリエンファシスを 用 いるなどして 駆 動 強 度 を 高 めるように 構 成 されています FPGA が 出 力 するこのような 強 い 信 号 を FR4 基 板 上 の 3 から 6 インチ 長 さ 配 線 を 介 して LVDS バッファの 入 力 段 に 与 えると 問 題 が 発 生 します 設 計 者 は FPGA 出 力 の 信 号 振 幅 を 抑 えて バックプレーン ドライバ 入 力 の 飽 和 を 防 がなければ なりません 4.3 EMI ( 電 磁 妨 害 ノイズ ) の 低 減 LVDS とその 低 EMI 性 能 高 速 データ 伝 送 は 通 常 高 速 なエッジ レートと 大 きな EMI を 意 味 します しかし LVDS は EMI を 低 減 する 次 のような 好 ましい 性 質 を 多 く 持 っています 1. 出 力 電 圧 振 幅 が 小 さい ( およそ 350mV) 2. エッジ レートが 比 較 的 遅 い 3. 差 動 ( 奇 モード 動 作 ) により 磁 界 が 打 ち 消 しあう 4. 出 力 波 形 の 角 が 丸 い 5. 低 電 流 モード 動 作 と 内 部 回 路 設 計 により ICC スパイクが 最 小 限 に 抑 えられている ただし こうした 利 点 を 活 かすには 差 動 ペアを 構 成 する 導 体 間 の 距 離 を 狭 くし かつ ペア 間 の インピーダンス 不 平 衡 を 回 避 しなければなりません 以 下 の 項 で EMI に 親 和 性 の 高 いこれらの 設 計 手 法 を 説 明 します LVDS.national.com/jpn 4-5

44 LVDS オーナーズ マニュアル 4.4 コモンモード ノイズの 除 去 3 CAT 5 UTP A A D IN 50W B 100Ω B R OUT ±10V LVDS-014 図 4.5. コモンモード ノイズ 除 去 試 験 の 構 成 テスト 構 成 : ドライバ : DS90C031 (1 チャネル ) レシーバ : DS90C032 (1 チャネル ) V CC =5V T A =25 このテストは ナショナルの LVDS レシーバのコモンモード ノイズ 除 去 性 能 を 実 証 するために 行 われました LVDS は 小 振 幅 ( 電 圧 振 幅 ±350mV スレッショルド 電 圧 ±100mV 未 満 ) であるために ノイズ 耐 性 を 懸 念 する 声 が 一 部 には 聞 かれます 差 動 信 号 が 管 理 されたインピーダンスを 持 った 近 接 するメディアを 伝 わる 条 件 下 では LVDS 線 路 に 重 畳 するノイズのほとんどはコモンモードとな ります 言 い 換 えれば EMI クロストーク あるいは 電 源 / グラウンドのシフトは 各 差 動 ペア を 構 成 している 両 方 の 導 体 に 等 しく 現 れ これらコモンモード ノイズはレシーバによって 除 去 さ れます 以 下 の 各 出 力 波 形 を 見 ると ピーク ツー ピークで- 0.5V ~ V のノイズ V CM が 重 畳 した 場 合 にも コモンモード ノイズが 除 去 されていることがわかります A B R OUT 図 4.6. 基 準 波 形 となる LVDS 信 号 とレシーバ 出 力 信 号 LVDS National Semiconductor s LVDS Group

45 LVDS を 使 用 した 設 計 A B R OUT LVDS-033 図 4.7. ピーク ツー ピークで 0.5V ~ 1.75V のコモンモード ノイズが 結 合 した 場 合 にも クリーンなレシーバ 出 力 を 得 る A B R OUT LVDS-040 図 4.8. 結 合 しているコモンモード ノイズ 波 形 とクリーンなレシーバ 出 力 波 形 の 拡 大 図 LVDS.national.com/jpn 4-7

46 LVDS オーナーズ マニュアル A B R OUT LVDS-026 図 4.9. ピーク ツー ピークで- 0.5V ~ V のコモンモード ノイズが 結 合 した 場 合 にも クリーンなレシーバ 出 力 を 得 る 4.5 LVDS 構 成 回 路 構 成 が 限 られている ECL や CML のような 他 のテクノロジーとは 異 なり LVDS はさまざまな 回 路 構 成 に 応 用 できます 100W 図 一 対 一 構 成 LVDS-028 LVDS のドライバとレシーバは 通 常 図 のような 一 対 一 構 成 で 使 用 しますが 他 の 回 路 構 成 も 可 能 です 一 対 一 構 成 は 信 号 パスとしては 最 も 優 れており 超 高 速 インタコネクト リンク に 適 しています 一 般 的 に 一 対 一 リンクはクロスポイント スイッチと 組 み 合 わせて 使 用 しま す 図 の 構 成 にすると ツイストペア ケーブル 1 本 で 双 方 向 通 信 が 可 能 です データは 1 度 に 1 方 向 にしか 流 せません 終 端 抵 抗 が 2 個 必 要 なことから 標 準 的 な LVDS ドライバを 使 用 した 場 合 は 信 号 の 振 幅 が 低 下 します ( 差 動 ノイズ マージンも 同 様 です ) この 問 題 を 解 決 する 1 つの 方 法 は 二 重 終 端 負 荷 用 に 設 計 された Bus LVDS ドライバを 使 用 することです すなわち Bus LVDS トランシーバを 採 用 すれば 双 方 向 通 信 を 最 も 容 易 に 実 現 できます Bus LVDS の 信 号 振 幅 は LVDS と 互 換 性 があり ノイズ マージンとの 両 立 が 可 能 です LVDS と Bus LVDS のコモンモー ド 範 囲 は ±1V ( 代 表 値 ) なので 数 十 m 長 のケーブルにも 対 応 します 4-8 National Semiconductor s LVDS Group

私立大学等研究設備整備費等補助金(私立大学等

私立大学等研究設備整備費等補助金(私立大学等 私 立 大 学 等 研 究 設 備 整 備 費 等 補 助 金 ( 私 立 大 学 等 研 究 設 備 等 整 備 費 ) 交 付 要 綱 目 次 第 1 章 通 則 ( 第 1 条 - 第 4 条 ) 第 2 章 私 立 大 学 等 ( 第 5 条 - 第 15 条 ) 第 3 章 専 修 学 校 ( 第 16 条 - 第 25 条 ) 第 4 章 補 助 金 の 返 還 ( 第 26 条 ) 第

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

養 老 保 険 の 減 額 払 済 保 険 への 変 更 1. 設 例 会 社 が 役 員 を 被 保 険 者 とし 死 亡 保 険 金 及 び 満 期 保 険 金 のいずれも 会 社 を 受 取 人 とする 養 老 保 険 に 加 入 してい る 場 合 を 解 説 します 資 金 繰 りの 都

養 老 保 険 の 減 額 払 済 保 険 への 変 更 1. 設 例 会 社 が 役 員 を 被 保 険 者 とし 死 亡 保 険 金 及 び 満 期 保 険 金 のいずれも 会 社 を 受 取 人 とする 養 老 保 険 に 加 入 してい る 場 合 を 解 説 します 資 金 繰 りの 都 平 成 22 年 4 月 1 日 現 在 の 法 令 等 に 準 拠 Up Newsletter 養 老 保 険 の 減 額 逓 増 定 期 保 険 の 取 扱 い 変 更 介 護 費 用 保 険 の 導 入 企 業 の 保 険 のポイント http://www.up-firm.com 1 養 老 保 険 の 減 額 払 済 保 険 への 変 更 1. 設 例 会 社 が 役 員 を 被 保 険 者

More information

<4D6963726F736F667420576F7264202D2095CA8E863136816A90DA91B18C9F93A289F1939A8F9181698D8288B3816A5F4150382E646F63>

<4D6963726F736F667420576F7264202D2095CA8E863136816A90DA91B18C9F93A289F1939A8F9181698D8288B3816A5F4150382E646F63> 接 続 検 討 回 答 書 ( 高 圧 版 ) 別 添 様 式 AP8-20160401 回 答 日 年 月 日 1. 申 込 者 等 の 概 要 申 込 者 検 討 者 2. 接 続 検 討 の 申 込 内 容 発 電 者 の 名 称 発 電 場 所 ( 住 所 ) 最 大 受 電 電 力 アクセス の 運 用 開 始 希 望 日 3. 接 続 検 討 結 果 (1) 希 望 受 電 電 力 に

More information

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63>

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63> 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 平 成 27 年 6 月 18 日 一 般 社 団 法 人 日 本 電 設 工 業 協 会 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 について 1. 調 査 の 目 的 社 会 保 険 加 入 促 進 計 画 の 計 画 期 間 (H24 年 度 ~H28 年 度 までの5 年 間 )の 中 間 時 点 として 1

More information

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設

為 が 行 われるおそれがある 場 合 に 都 道 府 県 公 安 委 員 会 がその 指 定 暴 力 団 等 を 特 定 抗 争 指 定 暴 力 団 等 として 指 定 し その 所 属 する 指 定 暴 力 団 員 が 警 戒 区 域 内 において 暴 力 団 の 事 務 所 を 新 たに 設 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 例 規 整 備 * 暴 力 団 員 による 不 当 な 行 為 の 防 止 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 例 規 整 備 公 布 年 月 日 番 号 平 成 24 年

More information

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料 別 添 72 後 退 灯 の 技 術 基 準 1. 適 用 範 囲 等 この 技 術 基 準 は 自 動 車 に 備 える 後 退 灯 に 適 用 する( 保 安 基 準 第 40 条 関 係 ) ただし 法 第 75 条 の2 第 1 項 の 規 定 によりその 型 式 について 指 定 を 受 けた 白 色 の 前 部 霧 灯 が 後 退 灯 として 取 付 けられている 自 動 車 にあっては

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 株 式 会 社 化 に 伴 う から 特 定 の 員 への 株 式 譲 渡 に 係 る 課 税 関 係 と 手 続 きについて 平 成 20 年 2 月 商 工 中 金 当 資 料 は 貴 において 本 件 取 引 に 関 する 検 討 をされるに 際 して ご 参 考 のための 情 報 提 供 のみを 目 的 として 国 税 庁 の 確 認 を 受 けた 内 容 に 基 づき 商 工 中 金 が

More information

スライド 1

スライド 1 公 的 年 金 制 度 の 健 全 性 及 び 信 頼 性 の 確 保 のための 厚 生 年 金 保 険 法 等 の 一 部 を 改 正 する 法 律 について 厚 生 労 働 省 年 金 局 公 的 年 金 制 度 の 健 全 性 及 び 信 頼 性 の 確 保 のための 厚 生 年 金 保 険 法 等 の 一 部 を 改 正 する 法 律 ( 平 成 25 年 法 律 第 63 号 )の 概 要

More information

の と す る (1) 防 犯 カ メ ラ を 購 入 し 設 置 ( 新 設 又 は 増 設 に 限 る ) す る こ と (2) 設 置 す る 防 犯 カ メ ラ は 新 設 又 は 既 設 の 録 画 機 と 接 続 す る こ と た だ し 録 画 機 能 付 防 犯 カ メ ラ は

の と す る (1) 防 犯 カ メ ラ を 購 入 し 設 置 ( 新 設 又 は 増 設 に 限 る ) す る こ と (2) 設 置 す る 防 犯 カ メ ラ は 新 設 又 は 既 設 の 録 画 機 と 接 続 す る こ と た だ し 録 画 機 能 付 防 犯 カ メ ラ は 小 牧 市 地 域 防 犯 カ メ ラ 等 設 置 補 助 金 交 付 要 綱 平 成 2 8 年 3 月 2 2 日 2 7 小 市 安 第 7 5 7 号 ( 通 則 ) 第 1 条 小 牧 市 地 域 防 犯 カ メ ラ 等 設 置 補 助 金 ( 以 下 補 助 金 と い う )の 交 付 に つ い て は 市 費 補 助 金 等 の 予 算 執 行 に 関 す る 規 則 ( 昭 和

More information

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36

2 役 員 の 報 酬 等 の 支 給 状 況 役 名 法 人 の 長 理 事 理 事 ( 非 常 勤 ) 平 成 25 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 16,936 10,654 4,36 独 立 行 政 法 人 駐 留 軍 等 労 働 者 労 務 管 理 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 検 証 結 果 理 事 長 は 今 中 期 計 画 に 掲 げた 新 たな 要

More information

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や

1 総 合 設 計 一 定 規 模 以 上 の 敷 地 面 積 及 び 一 定 割 合 以 上 の 空 地 を 有 する 建 築 計 画 について 特 定 行 政 庁 の 許 可 により 容 積 率 斜 線 制 限 などの 制 限 を 緩 和 する 制 度 である 建 築 敷 地 の 共 同 化 や 参 考 資 料 1-17 民 間 都 市 整 備 事 業 建 築 計 画 に 関 わる 関 連 制 度 の 整 理 都 市 開 発 諸 制 度 には 公 開 空 地 の 確 保 など 公 共 的 な 貢 献 を 行 う 建 築 計 画 に 対 して 容 積 率 や 斜 線 制 限 などの 建 築 基 準 法 に 定 める 形 態 規 制 を 緩 和 することにより 市 街 地 環 境 の 向 上 に

More information

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene.

https://www.takara-dic-net.jp/xeditor_ne/xeditor_web/html_gene. 平 成 23 年 3 月 期 第 1 四 半 期 決 算 短 信 日 本 基 準 ( 連 結 ) 平 成 22 年 8 月 11 日 上 場 会 社 名 松 井 建 設 株 式 会 社 上 場 取 引 所 東 コ ー ド 番 号 1810 URL http://www.matsui-ken.co.jp/ 代 表 者 ( 役 職 名 ) 取 締 役 社 長 ( 氏 名 ) 松 井 隆 弘 ( 役 職

More information

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図 平 成 2 8 年 3 月 25 日 NACSIS-CAT 検 討 作 業 部 会 NACSIS-CAT/ILL の 軽 量 化 合 理 化 について( 基 本 方 針 )( 案 ) これからの 学 術 情 報 システム 構 築 検 討 委 員 会 ( 以 下, これから 委 員 会 ) は これか らの 学 術 情 報 システムの 在 り 方 について ( 平 成 27 年 5 月 29 日 )

More information

試 験 概 略 試 験 目 的 同 同 一 一 規 規 格 格 の の 電 電 熱 熱 線 線 式 式 ヒーティングユニットを2 台 台 並 並 べ べ 片 片 方 方 のユニットに 遠 遠 赤 赤 外 外 線 線 放 放 射 射 材 材 料 料 である アルミ 合 金 エキスパンションメタルを 組

試 験 概 略 試 験 目 的 同 同 一 一 規 規 格 格 の の 電 電 熱 熱 線 線 式 式 ヒーティングユニットを2 台 台 並 並 べ べ 片 片 方 方 のユニットに 遠 遠 赤 赤 外 外 線 線 放 放 射 射 材 材 料 料 である アルミ 合 金 エキスパンションメタルを 組 遠 赤 外 線 アルミ 合 金 併 用 融 雪 システム 融 雪 試 験 報 告 書 平 成 20 年 2 月 試 験 概 略 試 験 目 的 同 同 一 一 規 規 格 格 の の 電 電 熱 熱 線 線 式 式 ヒーティングユニットを2 台 台 並 並 べ べ 片 片 方 方 のユニットに 遠 遠 赤 赤 外 外 線 線 放 放 射 射 材 材 料 料 である アルミ 合 金 エキスパンションメタルを

More information

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について

平成25年度 独立行政法人日本学生支援機構の役職員の報酬・給与等について 平 成 25 年 度 独 立 行 政 法 日 本 学 生 支 援 機 構 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 25 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 日 本 学 生 支 援 機 構 は 奨 学 金 貸 与 事 業 留 学 生 支 援

More information

Taro-条文.jtd

Taro-条文.jtd - 1 - 部 正 昭 和 部 正 目 ん 養 涵 養 ロ ん ロ 護 在 面 積 並 削 共 化 委 託 共 化 病 害 虫 駆 除 予 防 他 護 削 3 域 各 掲 げ ほ 全 努 - 2 - む ね 道 開 設 良 安 設 削 他 護 削 委 託 促 進 削 病 害 虫 駆 除 予 防 火 災 予 防 他 護 削 - 3 - 応 じ 6 案 作 学 識 験 意 聴 3 各 掲 げ ほ 掲 げ

More information

Microsoft PowerPoint - 報告書(概要).ppt

Microsoft PowerPoint - 報告書(概要).ppt 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 論 点 と 意 見 について ( 概 要 ) 神 奈 川 県 市 町 村 における 地 方 公 務 員 制 度 改 革 に 係 る 検 討 会 議 について 1 テーマ 地 方 公 務 員 制 度 改 革 ( 総 務 省 地 方 公 務 員 の 労 使 関 係 制 度 に 係 る 基 本 的 な 考 え 方 )の 課 題 の 整

More information

平成17年度高知県県産材利用推進事業費補助金交付要綱

平成17年度高知県県産材利用推進事業費補助金交付要綱 高 知 県 副 業 型 林 家 育 成 支 援 事 業 募 集 要 領 第 1 趣 旨 この 要 領 は 高 知 県 副 業 型 林 家 育 成 支 援 事 業 費 補 助 金 交 付 要 綱 に 基 づき 当 該 補 助 金 の 交 付 の 対 象 となる 事 業 者 を 公 募 して 選 定 する 手 続 等 当 該 事 業 の 円 滑 な 実 施 を 図 るために 必 要 な 事 項 を 定

More information

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378>

<819A955D89BF92B28F91816989638BC690ED97AA8EBA81418FA48BC682CC8A8890AB89BB816A32322E786C7378> 平 成 27 年 度 施 策 評 価 調 書 施 策 の 名 称 等 整 理 番 号 22 評 価 担 当 課 営 業 戦 略 課 職 氏 名 施 策 名 ( 基 本 事 業 ) 商 業 の 活 性 化 総 合 計 画 の 位 置 づけ 基 本 目 主 要 施 策 4 想 像 力 と 活 力 にあふれたまちづくり 商 業 の 振 興 2 施 策 の 現 状 分 析 と 意 図 施 策 の 対 象 意

More information

Microsoft PowerPoint - 06 資料6 技術基準.ppt [互換モード]

Microsoft PowerPoint - 06  資料6 技術基準.ppt [互換モード] 資 料 6 通 信 放 送 の 法 体 系 における 技 術 基 準 について 平 成 21 年 2 月 27 日 現 行 の 技 術 基 準 の 概 要 放 送 中 止 事 故 への 対 処 1 現 在 の 技 術 基 準 の 概 要 1.コンテンツに 係 る 技 術 基 準 (1) 目 的 放 送 の 品 質 の 確 保 受 信 端 末 の 安 定 的 な 供 給 の 確 保 等 (2) 概 要

More information

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A>

<8BB388F58F5A91EE82A082E895FB8AEE967B95FB906A> 恵 庭 市 教 員 住 宅 のあり 方 基 本 方 針 平 成 25 年 2 月 恵 庭 市 教 育 委 員 会 目 次 1. 教 員 住 宅 の 現 状 (1) 教 員 住 宅 の 役 割 1 (2) 教 員 住 宅 の 実 態 1 (3) 環 境 の 変 化 1 (4) 教 員 の 住 宅 事 情 1 2 2. 基 本 方 針 の 目 的 2 3.あり 方 検 討 会 議 の 答 申 内 容

More information

<4D6963726F736F667420506F776572506F696E74202D20303282D382E982B382C68AF1958D8BE090A7937882C98AD682B782E9834183938350815B83678C8B89CA81698CF6955C9770816A2E70707478>

<4D6963726F736F667420506F776572506F696E74202D20303282D382E982B382C68AF1958D8BE090A7937882C98AD682B782E9834183938350815B83678C8B89CA81698CF6955C9770816A2E70707478> ふるさと 納 税 に 関 する 調 査 結 果 ( 概 要 ) ( 対 象 団 体 : 都 道 府 県 47 団 体 市 区 町 村 1,742 団 体 ) 総 務 省 自 治 税 務 局 1 寄 附 金 の 納 付 手 続 きについて ふるさと 納 税 に 関 する 調 査 結 果 ( 概 要 ) 寄 附 手 続 きに 係 る 改 善 すべき 点 としては クレジットカード 決 済 コンビニ 納

More information

KINGSOFT Office 2016 動 作 環 境 対 応 日 本 語 版 版 共 通 利 用 上 記 動 作 以 上 以 上 空 容 量 以 上 他 接 続 環 境 推 奨 必 要 2

KINGSOFT Office 2016 動 作 環 境 対 応 日 本 語 版 版 共 通 利 用 上 記 動 作 以 上 以 上 空 容 量 以 上 他 接 続 環 境 推 奨 必 要 2 目 次 動 作 環 境 特 長 方 法 方 法 起 動 終 了 方 法 方 法 操 作 方 法 使 方 使 方 使 方 詳 細 設 定 使 方 KINGSOFT Office 2016 動 作 環 境 対 応 日 本 語 版 版 共 通 利 用 上 記 動 作 以 上 以 上 空 容 量 以 上 他 接 続 環 境 推 奨 必 要 2 KINGSOFT Office 2016 特 長 主 特 長 以

More information

2 県 公 立 高 校 の 合 格 者 は このように 決 まる (1) 選 抜 の 仕 組 み 選 抜 の 資 料 選 抜 の 資 料 は 主 に 下 記 の3つがあり 全 高 校 で 使 用 する 共 通 の ものと 高 校 ごとに 決 めるものとがあります 1 学 力 検 査 ( 国 語 数

2 県 公 立 高 校 の 合 格 者 は このように 決 まる (1) 選 抜 の 仕 組 み 選 抜 の 資 料 選 抜 の 資 料 は 主 に 下 記 の3つがあり 全 高 校 で 使 用 する 共 通 の ものと 高 校 ごとに 決 めるものとがあります 1 学 力 検 査 ( 国 語 数 2 県 公 立 高 校 の 合 格 者 は このように 決 まる (1) 選 抜 の 仕 組 み 選 抜 の 資 料 選 抜 の 資 料 は 主 に 下 記 の3つがあり 全 高 校 で 使 用 する 共 通 の ものと 高 校 ごとに 決 めるものとがあります 1 学 力 検 査 ( 国 語 数 学 社 会 理 科 英 語 の5 教 科 ) すべての 高 校 で 資 料 とする 2 調 査 書 (

More information

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1

2 役 員 の 報 酬 等 の 支 給 状 況 平 成 27 年 度 年 間 報 酬 等 の 総 額 就 任 退 任 の 状 況 役 名 報 酬 ( 給 与 ) 賞 与 その 他 ( 内 容 ) 就 任 退 任 2,142 ( 地 域 手 当 ) 17,205 11,580 3,311 4 月 1 独 立 行 政 法 人 統 計 センター( 法 人 番 号 7011105002089)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 独 立 行 政 法 人 通 則 法 第 52 条 第 3 項 の 規 定 に 基 づき

More information

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農

その 他 事 業 推 進 体 制 平 成 20 年 3 月 26 日 に 石 垣 島 国 営 土 地 改 良 事 業 推 進 協 議 会 を 設 立 し 事 業 を 推 進 ( 構 成 : 石 垣 市 石 垣 市 議 会 石 垣 島 土 地 改 良 区 石 垣 市 農 業 委 員 会 沖 縄 県 農 国 営 かんがい 排 水 事 業 石 垣 島 地 区 事 業 の 概 要 本 事 業 は 沖 縄 本 島 から 南 西 約 400kmにある 石 垣 島 に 位 置 する 石 垣 市 の4,338haの 農 業 地 帯 において 農 業 用 水 の 安 定 供 給 を 図 るため 農 業 水 利 施 設 の 改 修 整 備 を 行 うものである 事 業 の 目 的 必 要 性 本 地 区 は さとうきびを

More information

(3) その 他 市 長 が 必 要 と 認 める 書 類 ( 補 助 金 の 交 付 決 定 ) 第 6 条 市 長 は 前 条 の 申 請 書 を 受 理 したときは 速 やかにその 内 容 を 審 査 し 補 助 金 を 交 付 すべきものと 認 めたときは 規 則 第 7 条 に 規 定 す

(3) その 他 市 長 が 必 要 と 認 める 書 類 ( 補 助 金 の 交 付 決 定 ) 第 6 条 市 長 は 前 条 の 申 請 書 を 受 理 したときは 速 やかにその 内 容 を 審 査 し 補 助 金 を 交 付 すべきものと 認 めたときは 規 則 第 7 条 に 規 定 す 篠 山 市 防 犯 カメラ 設 置 費 補 助 金 交 付 要 綱 平 成 25 年 9 月 30 日 要 綱 第 55 号 ( 趣 旨 ) 第 1 条 この 要 綱 は 市 民 が 安 心 して 暮 らせるまちづくりを 目 指 し 自 主 防 犯 活 動 を 補 完 するため 防 犯 カメラの 設 置 に 係 る 経 費 の 一 部 を 補 助 することに 関 し 篠 山 市 補 助 金 交 付

More information

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc)

(Microsoft Word - \221\346\202P\202U\201@\214i\212\317.doc) (1) 1 ア 調 査 すべき の 手 法 情 報 できる 主 要 な 眺 望 地 点 及 び 主 要 で 身 近 な 視 点 の 状 況 な 実 視 施 点 地 ( 区 点 不 域 のうち 特 周 定 辺 の 多 主 数 の 要 な なものをいう 人 々 眺 望 又 地 は 点 周 ( 辺 の 不 以 住 特 下 民 定 が 同 多 じ ) 数 の する 人 及 々が 場 び 所 対 利 で 象

More information

ていることから それに 先 行 する 形 で 下 請 業 者 についても 対 策 を 講 じることとしまし た 本 県 としましては それまでの 間 に 未 加 入 の 建 設 業 者 に 加 入 していただきますよう 28 年 4 月 から 実 施 することとしました 問 6 公 共 工 事 の

ていることから それに 先 行 する 形 で 下 請 業 者 についても 対 策 を 講 じることとしまし た 本 県 としましては それまでの 間 に 未 加 入 の 建 設 業 者 に 加 入 していただきますよう 28 年 4 月 から 実 施 することとしました 問 6 公 共 工 事 の 3 月 1 日 ( 火 )HP 公 表 基 本 関 係 社 会 保 険 等 未 加 入 対 策 に 関 する 想 定 問 答 問 1 社 会 保 険 等 とは 何 か 社 会 保 険 ( 健 康 保 険 及 び 厚 生 年 金 保 険 )と 労 働 保 険 ( 雇 用 保 険 )を 指 します 問 2 どのような 場 合 でも 元 請 と 未 加 入 業 者 との 一 次 下 請 契 約 が 禁 止

More information

・モニター広告運営事業仕様書

・モニター広告運営事業仕様書 秋 田 市 新 庁 舎 動 画 広 告 放 映 事 業 仕 様 書 1 目 的 多 く の 市 民 の 目 に 触 れ る 市 役 所 の 特 性 を 活 か し 映 像 や 音 声 を 活 用 し た モ ニ タ ー に よ る 動 画 広 告 を 新 庁 舎 内 に 導 入 し 新 庁 舎 の 主 要 機 能 の 一 つ で あ る 情 報 発 信 拠 点 と し て の 役 割 を 果 た す

More information

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑

検 討 検 討 の 進 め 方 検 討 状 況 簡 易 収 支 の 世 帯 からサンプリング 世 帯 名 作 成 事 務 の 廃 止 4 5 必 要 な 世 帯 数 の 確 保 が 可 能 か 簡 易 収 支 を 実 施 している 民 間 事 業 者 との 連 絡 等 に 伴 う 事 務 の 複 雑 等 の 当 初 見 直 し 案 の 検 討 状 況 について 資 料 1-1 項 目 名 検 討 検 討 の 進 め 方 検 討 状 況 都 道 府 県 担 当 者 との 意 見 交 換 では 結 果 精 度 の 低 下 に 伴 い 結 果 が 活 用 されなくなった 場 合 の 員 のモチベーション 低 下 の 可 能 性 や 員 の 配 置 換 え 等 についての 考 慮 が 必 要 との 意

More information

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63>

<4D F736F F D F8D828D5A939982CC8EF68BC697BF96B38F9E89BB82CC8A6791E52E646F63> 平 成 22 年 11 月 9 日 高 校 等 の 授 業 料 無 償 化 の 拡 大 検 討 案 以 下 は 大 阪 府 の 検 討 案 の 概 要 であり 最 終 的 には 平 成 23 年 2 月 議 会 での 予 算 の 議 決 を 経 て 方 針 を 確 定 する 予 定 です Ⅰ. 検 討 案 の 骨 子 平 成 23 年 度 から 大 阪 の 子 どもたちが 中 学 校 卒 業 時 の

More information

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施

目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行 区 位 置 図 1 (3) 施 行 区 の 区 域 1 (4) 施 北 部 大 阪 都 市 画 事 業 JR 高 槻 駅 北 東 土 区 画 整 理 事 業 事 業 画 書 高 槻 市 JR 高 槻 駅 北 東 土 区 画 整 理 組 合 目 次 第 1. 土 区 画 整 理 事 業 の 名 称 等 1 (1) 土 区 画 整 理 事 業 の 名 称 1 (2) 施 行 者 の 名 称 1 第 2. 施 行 区 1 (1) 施 行 区 の 位 置 1 (2) 施 行

More information

4 応 募 者 向 けメニュー 画 面 が 表 示 されます 応 募 者 向 けメニュー 画 面 で [ 交 付 内 定 時 の 手 続 を 行 う] [ 交 付 決 定 後 の 手 続 を 行 う]をクリックします 10

4 応 募 者 向 けメニュー 画 面 が 表 示 されます 応 募 者 向 けメニュー 画 面 で [ 交 付 内 定 時 の 手 続 を 行 う] [ 交 付 決 定 後 の 手 続 を 行 う]をクリックします 10 2 科 学 研 究 費 助 成 事 業 のトップページ 画 面 が 表 示 されます [ 研 究 者 ログイン]をクリック します 掲 載 している 画 面 は 例 示 です 随 時 変 更 されます 3 科 研 費 電 子 申 請 システムの 応 募 者 ログイン 画 面 が 表 示 されます e-rad の ID パ ス ワード を 入 力 し [ログイン]をクリックします 9 4 応 募 者

More information

<5461726F2D91E6343089F18BDF91E389BB955C8E86208169979D8E9689EF2E>

<5461726F2D91E6343089F18BDF91E389BB955C8E86208169979D8E9689EF2E> 平 成 28 年 度 ( 第 40 回 ) 奈 良 県 近 代 化 基 金 融 資 推 薦 申 込 み 公 募 要 綱 公 募 期 間 融 資 公 募 枠 総 枠 3 億 円 平 成 28 年 6 月 15 日 ( 水 )~ 平 成 28 年 9 月 30 日 ( 金 ) 融 資 対 象 事 業 近 代 化 基 金 1.トラックターミナル 配 送 センター 等 の 物 流 施 設 の 整 備 に 要

More information

Taro-H19退職金(修正版).jtd

Taro-H19退職金(修正版).jtd 調 査 結 果 の 概 要 1 退 職 金 制 度 (1) 採 用 状 況 ( 表 1) 集 計 第 1 表 第 2 表 退 職 金 制 度 の 採 用 状 況 をみると 退 職 一 時 金 制 度 のみ 14 社 ( 退 職 金 制 度 採 用 企 業 246 社 の5.7 %) 退 職 年 金 制 度 のみ 27 社 ( 同 11.0%) 退 職 一 時 金 制 度 と 退 職 年 金 制 度

More information

○ 愛 知 県 都 市 職 員 共 済 組 合 職 員 の 育 児 休 業 等 に 関 する 規 則 ( 平 成 22 年 10 月 1 日 ) 平 成 22 年 規 則 第 9 号 改 正 平 成 22 年 11 月 30 日 規 則 第 11 号 愛 知 県 都 市 職 員 共 済 組 合 職 員 の 育 児 休 業 等 に 関 する 規 則 ( 平 成 10 年 愛 知 県 都 市 職 員 共 済

More information

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている

(5) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 き 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている 清 瀬 市 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (25 年 度 末 ) 25 年 度 千 74,247 27,195,534 A 768,602 千 4,616,550 B 千 17.0 B/A 昨 年 度 の 件 費 率 17.3

More information

1 変更の許可等(都市計画法第35条の2)

1 変更の許可等(都市計画法第35条の2) 第 11 章 建 築 物 の 建 ぺい 率 等 の 指 定 ( 都 市 計 画 法 第 41 条 ) 建 築 物 の 建 ぺい 率 等 の 指 定 ( 都 市 計 画 法 第 41 条 ) 法 律 ( 建 築 物 の 建 ぺい 率 等 の 指 定 ) 第 四 十 一 条 都 道 府 県 知 事 は 用 途 地 域 の 定 められていない 土 地 の 区 域 における 開 発 行 為 につい て 開

More information

<4D6963726F736F667420576F7264202D208379815B83578F4390B3814090E797748CA797709372926E88E68E7792E88AEE8F805F48508C668DDA95AA816A3130303430312E646F63>

<4D6963726F736F667420576F7264202D208379815B83578F4390B3814090E797748CA797709372926E88E68E7792E88AEE8F805F48508C668DDA95AA816A3130303430312E646F63> 千 葉 県 用 途 地 域 指 定 基 準 千 葉 県 県 土 整 備 部 都 市 計 画 課 平 成 22 年 4 月 - 目 次 - 1. 用 途 地 域 指 定 の 目 的 1 2. 用 途 地 域 指 定 の 基 本 方 針 1 3. 土 地 利 用 と 用 途 地 域 の 指 定 方 針 2 (1) 住 宅 地 (2) 商 業 地 (3) 工 業 地 (4) 幹 線 道 路 の 沿 道 等

More information

小 売 電 気 の 登 録 数 の 推 移 昨 年 8 月 の 前 登 録 申 請 の 受 付 開 始 以 降 小 売 電 気 の 登 録 申 請 は 着 実 に 増 加 しており これまでに310 件 を 登 録 (6 月 30 日 時 点 ) 本 年 4 月 の 全 面 自 由 化 以 降 申

小 売 電 気 の 登 録 数 の 推 移 昨 年 8 月 の 前 登 録 申 請 の 受 付 開 始 以 降 小 売 電 気 の 登 録 申 請 は 着 実 に 増 加 しており これまでに310 件 を 登 録 (6 月 30 日 時 点 ) 本 年 4 月 の 全 面 自 由 化 以 降 申 資 料 3-1 小 売 全 面 自 由 化 に 関 する 進 捗 状 況 平 成 28 年 7 月 1 日 資 源 エネルギー 庁 小 売 電 気 の 登 録 数 の 推 移 昨 年 8 月 の 前 登 録 申 請 の 受 付 開 始 以 降 小 売 電 気 の 登 録 申 請 は 着 実 に 増 加 しており これまでに310 件 を 登 録 (6 月 30 日 時 点 ) 本 年 4 月 の 全

More information

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし

は 固 定 流 動 及 び 繰 延 に 区 分 することとし 減 価 償 却 を 行 うべき 固 定 の 取 得 又 は 改 良 に 充 てるための 補 助 金 等 の 交 付 を 受 けた 場 合 にお いては その 交 付 を 受 けた 金 額 に 相 当 する 額 を 長 期 前 受 金 とし 3 会 計 基 準 の 見 直 しの 主 な 内 容 (1) 借 入 金 借 入 金 制 度 を 廃 止 し 建 設 又 は 改 良 に 要 する 資 金 に 充 てるための 企 業 債 及 び 一 般 会 計 又 は 他 の 特 別 会 計 からの 長 期 借 入 金 は に 計 上 することとなりまし た に 計 上 するに 当 たり 建 設 又 は 改 良 等 に 充 てられた 企 業 債 及

More information

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定

( 別 途 調 査 様 式 1) 減 損 損 失 を 認 識 するに 至 った 経 緯 等 1 列 2 列 3 列 4 列 5 列 6 列 7 列 8 列 9 列 10 列 11 列 12 列 13 列 14 列 15 列 16 列 17 列 18 列 19 列 20 列 21 列 22 列 固 定 資 料 10 減 損 損 失 及 び 資 本 金 の 変 動 に 関 する 調 査 記 載 上 の 注 意 事 項 当 調 査 は 減 損 損 失 を 認 識 するに 至 った 経 緯 資 本 金 の の 変 動 等 を 把 握 するために 調 査 する ものである 調 査 対 象 は 地 方 公 営 企 業 状 況 調 査 の 対 象 となっている 法 適 用 企 業 とする Ⅰ 提 出 物 について

More information

別紙3

別紙3 別 紙 3 1 総 括 平 成 26 年 度 栃 木 市 の 給 与 定 員 管 理 等 に つ い て (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 区 分 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (26 年 1 月 1 日 ) A B B / A 24 年 度 の 件 費 率 % % 25 年 度 146,544 56,331,297

More information

Microsoft Word )40期決算公開用.doc

Microsoft Word )40期決算公開用.doc 貸 借 対 照 表 [ 株 式 会 社 ニラク] ( 平 成 21 年 3 月 31 日 現 在 ) ( 単 位 : 千 円 ) 科 目 金 額 科 目 金 額 ( 資 産 の 部 ) ( 負 債 の 部 ) 流 動 資 産 現 金 及 び 預 金 売 掛 金 有 価 証 券 商 品 貯 蔵 品 前 払 費 用 短 期 貸 付 金 未 収 入 金 繰 延 税 金 資 産 貸 倒 引 当 金 固 定

More information

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 改 訂 の 要 因 旧 新 (2013 年 4 月 版 ) 文 言 削 除 p.11(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 p.5(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 1. 用 紙 系 ( 線 種 ピッチ 等 用 紙 上 の 大 きさで

More information

一般競争入札について

一般競争入札について ( 一 般 競 争 入 札 ) 総 合 評 価 落 札 方 式 ガイドライン 平 成 21 年 4 月 ( 独 ) 工 業 所 有 権 情 報 研 修 館 1.はじめに 現 在 公 共 調 達 の 透 明 性 公 正 性 をより 一 層 めることが 喫 緊 の 課 題 とな っており 独 立 行 政 法 人 も 含 めた 政 府 全 体 で 随 意 契 約 の 見 直 しに 取 り 組 んで おります

More information

表紙

表紙 現 況 の 指 定 容 積 率 をすべて 使 い 切 った 場 合 に 現 況 容 積 率 の 2.25 倍 以 上 になるかどうかを 確 認 する 現 況 の 街 区 面 積 は 3,569,759m2 延 べ 床 面 積 は 3,569,759m2であるから 目 標 とする 延 べ 床 面 積 はその 2.25 倍 の 8,031,958m2である 一 方 指 定 容 積 率 をすべて 使 い

More information

添 付 資 料 の 目 次 1. 当 四 半 期 決 算 に 関 する 定 性 的 情 報 2 (1) 経 営 成 績 に 関 する 説 明 2 (2) 財 政 状 態 に 関 する 説 明 2 (3) 連 結 業 績 予 想 などの 将 来 予 測 情 報 に 関 する 説 明 2 2.サマリー 情 報 ( 注 記 事 項 )に 関 する 事 項 3 (1) 当 四 半 期 連 結 累 計 期 間

More information

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6

<4D6963726F736F667420576F7264202D203032208E598BC68A8897CD82CC8DC490B68B7982D18E598BC68A8893AE82CC8A76905682C98AD682B782E993C195CA915B9275964082C98AEE82C382AD936F985E96C68B9690C582CC93C197E1915B927582CC898492B75F8E96914F955D89BF8F915F2E646F6 様 式 租 税 特 別 措 置 等 に 係 る 政 策 の 事 前 評 価 書 1 政 策 評 価 の 対 象 とした 産 業 活 力 の 再 生 及 び 産 業 活 動 の 革 新 に 関 する 特 別 措 置 法 に 基 づく 登 録 免 租 税 特 別 措 置 等 の 名 称 許 税 の 特 例 措 置 の 延 長 ( 国 税 32)( 登 録 免 許 税 : 外 ) 2 要 望 の 内 容

More information

Microsoft Word - 奨学金相談Q&A.rtf

Microsoft Word - 奨学金相談Q&A.rtf 奨 学 金 相 談 Q&A 1 奨 学 金 制 度 の 何 が 問 題 か 1 金 融 事 業 に 変 質 した 奨 学 金 制 度 日 本 育 英 会 が2004 年 に 廃 止 されて 独 立 行 政 法 人 日 本 学 生 支 援 機 構 に 移 行 してから 金 融 事 業 として 位 置 づけられ 返 還 金 の 回 収 強 化 が 進 められてきました 2010 年 4 月 から 返 済

More information

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 (

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 ( 相 談 ~ 改 正 に 伴 い 改 めて 整 理 しておきたい~ 法 人 税 における 繰 越 欠 損 金 制 度 米 澤 潤 平 部 東 京 室 平 成 27 年 度 および28 年 度 の 税 制 改 正 による 法 人 税 率 引 き 下 げに 伴 う 課 税 ベース 拡 大 の 一 環 として 繰 越 欠 損 金 制 度 についても 大 改 正 が 行 われました 今 回 は 繰 越 欠 損

More information

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の

4 承 認 コミュニティ 組 織 は 市 長 若 しくはその 委 任 を 受 けた 者 又 は 監 査 委 員 の 監 査 に 応 じなければ ならない ( 状 況 報 告 ) 第 7 条 承 認 コミュニティ 組 織 は 市 長 が 必 要 と 認 めるときは 交 付 金 事 業 の 遂 行 の 地 域 づくり 一 括 交 付 金 の 交 付 に 関 する 要 綱 ( 趣 旨 ) 第 1 条 この 要 綱 は 川 西 市 地 域 分 権 の 推 進 に 関 する 条 例 ( 平 成 26 年 川 西 市 条 例 第 10 号 以 下 条 例 という ) 第 14 条 の 規 定 に 基 づく 地 域 づくり 一 括 交 付 金 ( 以 下 交 付 金 という )の 交 付 に 関 し 必 要

More information

労働時間と休日は、労働条件のもっとも基本的なものの一つです

労働時間と休日は、労働条件のもっとも基本的なものの一つです 36 協 定 作 成 マニュアル 労 働 時 間 と 休 日 は 労 働 条 件 のもっとも 基 本 的 なものの 一 つです 労 働 基 準 法 では まず 第 32 条 第 1 項 で 使 用 者 は 労 働 者 に 休 憩 時 間 を 除 き 1 週 間 に 40 時 間 を 超 えて 労 働 させてはならない とし 法 定 労 働 時 間 が 1 週 40 時 間 であること を 掲 げ 次

More information

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73>

<6D313588EF8FE991E58A778D9191E5834B C8EAE DC58F4992F18F6F816A F990B32E786C73> 国 立 大 学 法 人 茨 城 大 学 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 24 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 役 員 に 支 給 される 給 与 のうち 期 末 特 別 手 当 については 国 立 大 学 評 価 委 員 会

More information

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環 資 料 2-2 容 積 率 規 制 等 について Ministry of Land, Infrastructure, Transport and Tourism 容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保

More information

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定

する ( 評 定 の 時 期 ) 第 条 成 績 評 定 の 時 期 は 第 3 次 評 定 者 にあっては 完 成 検 査 及 び 部 分 引 渡 しに 伴 う 検 査 の 時 とし 第 次 評 定 者 及 び 第 次 評 定 者 にあっては 工 事 の 完 成 の 時 とする ( 成 績 評 定 射 水 市 建 設 工 事 施 行 に 関 する 工 事 成 績 評 定 要 領 平 成 8 年 3 月 7 告 示 第 44 号 ( 目 的 ) 第 条 この 要 領 は 射 水 市 が 所 掌 する 工 事 の 成 績 評 定 ( 以 下 評 定 という )に 必 要 な 事 項 を 定 め 公 正 かつ 的 確 な 評 定 を 行 うことにより もって 請 負 業 者 の 選 定 及 び 指

More information

第2回 制度設計専門会合 事務局提出資料

第2回 制度設計専門会合 事務局提出資料 第 3 回 制 度 設 計 専 門 会 合 事 務 局 提 出 資 料 ~ 電 力 の 小 売 営 業 に 関 する 指 針 ( 案 )の 概 要 について~ 平 成 27 年 12 月 4 日 ( 金 ) 電 力 の 小 売 営 業 に 関 する 指 針 ( 案 )で 整 備 する の 目 次 1. 需 要 家 への 適 切 な 情 報 提 供 (1) 一 般 的 な 情 報 提 供 (2) 契

More information

主要生活道路について

主要生活道路について 議 題 2 資 料 1. 本 日 の 検 討 テーマ 主 要 生 活 道 路 について 基 本 構 想 ( 平 成 23 年 3 月 の 中 間 報 告 資 料 )では 主 要 生 活 道 路 A~C 主 要 生 活 道 路 D~G の2 種 類 の 主 要 生 活 道 路 整 備 を 提 言 しています 各 路 線 の 具 体 的 な 整 備 手 法 については 地 区 計 画 制 度 の 適 用

More information

Microsoft Word - 佐野市生活排水処理構想(案).doc

Microsoft Word - 佐野市生活排水処理構想(案).doc 佐 野 市 生 活 排 水 処 理 構 想 ( 案 ) 平 成 27 年 12 月 佐 野 市 目 次 1. 生 活 排 水 処 理 構 想 について 1.1 生 活 排 水 処 理 構 想 とは P.1 1.2 生 活 排 水 処 理 施 設 の 種 類 P.1 2. 佐 野 市 の 現 状 と 課 題 2.1 整 備 状 況 P.2 2.2 主 な 汚 水 処 理 施 設 P.2 2.3 生 活

More information

1 変更の許可等(都市計画法第35条の2)

1 変更の許可等(都市計画法第35条の2) 第 12 章 市 街 化 調 整 区 域 内 の 土 地 における 建 築 等 の 制 限 1 開 発 許 可 を 受 けた 土 地 における 建 築 等 の 制 限 ( 都 市 計 画 法 第 42 条 ) 法 律 ( 開 発 許 可 を 受 けた 土 地 における 建 築 等 の 制 限 ) 第 四 十 二 条 何 人 も 開 発 許 可 を 受 けた 開 発 区 域 内 においては 第 三 十

More information

第316回取締役会議案

第316回取締役会議案 貸 借 対 照 表 ( 平 成 27 年 3 月 31 日 現 在 ) 科 目 金 額 科 目 金 額 ( 資 産 の 部 ) ( 負 債 の 部 ) 流 動 資 産 30,235,443 流 動 負 債 25,122,730 現 金 及 び 預 金 501,956 支 払 手 形 2,652,233 受 取 手 形 839,303 買 掛 金 20,067,598 売 掛 金 20,810,262

More information

<4D6963726F736F667420576F7264202D2030322090AC90D1955D92E8977697CC82CC895E9770816990DD8C76939995D2816A2E646F63>

<4D6963726F736F667420576F7264202D2030322090AC90D1955D92E8977697CC82CC895E9770816990DD8C76939995D2816A2E646F63> 土 木 部 建 築 設 計 及 び 工 事 監 理 等 委 託 業 務 成 績 評 定 要 領 の 運 用 ( 建 築 設 計 等 委 託 業 務 編 ) ( 評 定 の 方 法 ) 第 1 評 定 者 は 評 定 を 行 おうとする 業 務 ( 以 下 対 象 業 務 という )について 別 添 の 採 点 表 により 評 定 を 行 うものとし 評 価 項 目 評 価 の 視 点 及 び 評 価

More information

平 成 27 年 11 月 ~ 平 成 28 年 4 月 に 公 開 の 対 象 となった 専 門 協 議 等 における 各 専 門 委 員 等 の 寄 附 金 契 約 金 等 の 受 取 状 況 審 査 ( 別 紙 ) 専 門 協 議 等 の 件 数 専 門 委 員 数 500 万 円 超 の 受

平 成 27 年 11 月 ~ 平 成 28 年 4 月 に 公 開 の 対 象 となった 専 門 協 議 等 における 各 専 門 委 員 等 の 寄 附 金 契 約 金 等 の 受 取 状 況 審 査 ( 別 紙 ) 専 門 協 議 等 の 件 数 専 門 委 員 数 500 万 円 超 の 受 資 料 5-1 平 成 28 年 6 月 16 日 専 門 協 議 等 の 実 施 に 関 する 各 専 門 委 員 における 寄 附 金 契 約 金 等 の 受 取 状 況 承 認 審 査 及 び 安 全 対 策 に 係 る 専 門 協 議 等 を 依 頼 した 専 門 委 員 の 寄 附 金 契 約 金 等 の 受 取 状 況 については 医 薬 品 医 療 機 器 総 合 機 構 における 専

More information

財団法人○○会における最初の評議員の選任方法(案)

財団法人○○会における最初の評議員の選任方法(案) 一 般 財 団 法 人 生 産 科 学 研 究 奨 励 会 定 款 第 1 章 総 則 ( 名 称 ) 第 1 条 この 法 人 は 一 般 財 団 法 人 生 産 科 学 研 究 奨 励 会 という ( 事 務 所 ) 第 2 条 この 法 人 は 事 務 所 を 福 岡 市 東 区 松 香 台 1 丁 目 10 番 1 号 におく 第 2 章 目 的 及 び 事 業 ( 目 的 ) 第 3 条

More information

東近江行政組合職員の育児休業等に関する条例

東近江行政組合職員の育児休業等に関する条例 東 近 江 行 政 組 合 職 員 の 育 児 休 業 等 に 関 する 条 例 改 正 平 成 6 年 12 月 27 日 条 例 第 5 号 平 成 10 年 3 月 12 日 条 例 第 1 号 平 成 11 年 12 月 24 日 条 例 第 7 号 平 成 13 年 3 月 19 日 条 例 第 3 号 平 成 14 年 3 月 11 日 条 例 第 5 号 平 成 18 年 3 月 16

More information

定款  変更

定款  変更 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 定 款 第 1 章 総 則 ( 名 称 ) 第 1 条 この 法 人 は 公 益 社 団 法 人 宮 崎 県 農 業 振 興 公 社 ( 以 下 公 社 という )と 称 する ( 事 務 所 ) 第 2 条 公

More information

(4) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている.

(4) 給 与 制 度 の 総 合 的 見 直 しの 実 施 状 況 について 概 要 国 の 給 与 制 度 の 総 合 的 見 直 しにおいては 俸 給 表 の 水 準 の 平 均 2の 引 下 げ 及 び 地 域 手 当 の 支 給 割 合 の 見 直 し 等 に 取 り 組 むとされている. 別 紙 高 山 村 の 給 与 定 員 管 理 等 について 総 括 () 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (26 年 月 日 ) A B B/A 24 年 度 の 件 費 率 年 度 千 千 千 2,9 2,64,628 6,8 467,928 8. 2.4 (2) 職 員 給 与 費

More information

1 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の 課 税 について ガス 供 給 業 を 行 う 法 人 は 収 入 金 額 を 課 税 標 準 として 収 入 割 の 申 告 となります ( 法 72 条 の2 72 条 の 12 第 2 号 ) ガス 供 給 業 とその 他 の 事

1 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の 課 税 について ガス 供 給 業 を 行 う 法 人 は 収 入 金 額 を 課 税 標 準 として 収 入 割 の 申 告 となります ( 法 72 条 の2 72 条 の 12 第 2 号 ) ガス 供 給 業 とその 他 の 事 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の 申 告 について 埼 玉 県 県 税 事 務 所 平 成 28 年 4 月 凡 例 法 地 方 税 法 政 令 地 方 税 法 施 行 令 規 則 地 方 税 法 施 行 規 則 通 ( 県 ) 地 方 税 法 の 施 行 に 関 する 取 扱 いについて( 道 府 県 関 係 ) 1 ガス 供 給 業 を 行 う 法 人 の 事 業 税 の

More information

m07 北見工業大学 様式①

m07 北見工業大学 様式① 国 立 大 学 法 人 北 見 工 業 大 学 ( 法 人 番 号 6460305000387)の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 役 員 報 酬 の 支 給 水 準 の 設 定 についての 考 え 方 当 該 法 人 の 主 要 事 業 は 教 育 研 究 事 業 である 役

More information

リング 不 能 な 将 来 減 算 一 時 差 異 に 係 る 繰 延 税 金 資 産 について 回 収 可 能 性 がないも のとする 原 則 的 な 取 扱 いに 対 して スケジューリング 不 能 な 将 来 減 算 一 時 差 異 を 回 収 できることを 反 証 できる 場 合 に 原 則

リング 不 能 な 将 来 減 算 一 時 差 異 に 係 る 繰 延 税 金 資 産 について 回 収 可 能 性 がないも のとする 原 則 的 な 取 扱 いに 対 して スケジューリング 不 能 な 将 来 減 算 一 時 差 異 を 回 収 できることを 反 証 できる 場 合 に 原 則 第 323 回 企 業 会 計 基 準 委 員 会 資 料 番 号 日 付 審 議 事 項 (5)-4 2015 年 11 月 6 日 プロジェクト 項 目 税 効 果 会 計 公 開 草 案 に 対 するコメントへの 対 応 - 合 理 的 な 説 明 に 関 する 取 扱 い 本 資 料 の 目 的 1. 本 資 料 は 企 業 会 計 基 準 適 用 指 針 公 開 草 案 第 54 号 繰

More information

別 表 1 土 地 建 物 提 案 型 の 供 給 計 画 に 関 する 評 価 項 目 と 評 価 点 数 表 項 目 区 分 評 価 内 容 と 点 数 一 般 評 価 項 目 100 1 立 地 条 件 (1) 交 通 利 便 性 ( 徒 歩 =80m/1 分 ) 25 (2) 生 活 利 便

別 表 1 土 地 建 物 提 案 型 の 供 給 計 画 に 関 する 評 価 項 目 と 評 価 点 数 表 項 目 区 分 評 価 内 容 と 点 数 一 般 評 価 項 目 100 1 立 地 条 件 (1) 交 通 利 便 性 ( 徒 歩 =80m/1 分 ) 25 (2) 生 活 利 便 石 巻 市 公 募 型 買 取 市 営 住 宅 の 供 給 計 画 に 関 する 選 定 基 準 制 定 平 成 24 年 10 月 10 日 改 正 平 成 25 年 5 月 1 日 改 正 平 成 26 年 7 月 8 日 改 正 平 成 27 年 12 月 4 日 改 正 平 成 28 年 6 月 27 日 第 1 目 的 この 基 準 は 石 巻 市 公 募 型 買 取 市 営 住 宅 制

More information

Q IFRSの特徴について教えてください

Q IFRSの特徴について教えてください Q 改 正 後 の 退 職 給 付 に 関 する 会 計 基 準 と 改 訂 IAS 第 19 号 との 差 異 を 教 え てください A 数 理 計 算 上 の 差 異 過 去 勤 務 費 用 の 会 計 処 理 退 職 給 付 見 込 額 の 期 間 配 分 方 式 および 期 待 運 用 収 益 という 概 念 の 廃 止 が 主 な 差 異 として 残 っています 平 成 24 年 5 月

More information

H28記入説明書(納付金・調整金)8

H28記入説明書(納付金・調整金)8 1 常 用 雇 用 労 働 者 の 総 数 の 把 握 ( STEP1 ) (1) 常 用 雇 用 労 働 者 とは 障 害 者 雇 用 納 付 金 制 度 における 常 用 雇 用 労 働 者 とは あなたの 企 業 で の 形 式 の 如 何 を 問 わず 1 雇 用 ( 契 約 ) の 定 めがなく 雇 用 されている 労 働 者 及 び 一 定 の 雇 用 ( 契 約 ) を 定 めて 雇

More information

住宅改修の手引き(初版)

住宅改修の手引き(初版) 目 次 1. 介 護 保 険 制 度 における 住 宅 改 修 費 支 給 制 度 について 1 2. 対 象 要 件 2 3. 支 給 限 度 基 準 額 3 4. 支 払 方 法 5. 手 続 きの 流 れ 6 7 6. 住 宅 改 修 の 種 類 13 7. 住 宅 改 修 費 が 支 給 できない 場 合 16 8. 現 地 確 認 について 16 参 考 資 料 住 宅 改 修 費 の 支

More information

スライド 1

スライド 1 本 資 料 は 学 会 代 議 員 との 意 見 交 換 を 踏 まえて 多 数 意 見 をまとめたものです しかし 学 術 団 体 として 多 様 な 意 見 があります これを 契 機 に 議 論 が 活 性 化 することを 期 待 します マンション 建 替 えに 関 する 意 見 ( 第 2 版 ) 当 日 の 口 頭 説 明 を 一 部 加 筆 千 葉 大 学 小 林 秀 樹 < 一 般

More information

文化政策情報システムの運用等

文化政策情報システムの運用等 名 開 始 終 了 ( 予 定 ) 年 度 番 号 0406 平 成 25 年 行 政 レビューシート ( 文 部 科 学 省 ) 文 化 政 策 情 報 システム 運 用 等 担 当 部 局 庁 文 化 庁 作 成 責 任 者 平 成 8 年 度 なし 担 当 課 室 長 官 官 房 政 策 課 政 策 課 長 清 水 明 会 計 区 分 一 般 会 計 政 策 施 策 名 根 拠 法 令 ( 具

More information

続 に 基 づく 一 般 競 争 ( 指 名 競 争 ) 参 加 資 格 の 再 認 定 を 受 けていること ) c) 会 社 更 生 法 に 基 づき 更 生 手 続 開 始 の 申 立 てがなされている 者 又 は 民 事 再 生 法 に 基 づき 再 生 手 続 開 始 の 申 立 てがなさ

続 に 基 づく 一 般 競 争 ( 指 名 競 争 ) 参 加 資 格 の 再 認 定 を 受 けていること ) c) 会 社 更 生 法 に 基 づき 更 生 手 続 開 始 の 申 立 てがなされている 者 又 は 民 事 再 生 法 に 基 づき 再 生 手 続 開 始 の 申 立 てがなさ 簡 易 公 募 型 競 争 入 札 方 式 ( 総 合 評 価 落 札 方 式 )に 係 る 手 続 開 始 の 公 示 次 のとおり 指 名 競 争 入 札 参 加 者 の 選 定 の 手 続 を 開 始 します 平 成 28 年 9 月 20 日 分 任 支 出 負 担 行 為 担 当 官 東 北 地 方 整 備 局 秋 田 河 川 国 道 事 務 所 長 渡 邊 政 義 1. 業 務 概 要

More information

<4D6963726F736F667420576F7264202D2097988976918A94BD837D836C83578381839383678B4B92F62E646F6378>

<4D6963726F736F667420576F7264202D2097988976918A94BD837D836C83578381839383678B4B92F62E646F6378> 一 般 社 団 法 人 日 本 ジェネリック 医 薬 品 学 会 利 益 相 反 マネジメント 規 程 ( 目 的 ) 第 1 条 一 般 社 団 法 人 日 本 ジェネリック 医 薬 品 学 会 ( 以 下 本 学 会 と 略 す)は その 活 動 におい て 社 会 的 責 任 と 倫 理 性 が 求 められていることに 鑑 み 利 益 相 反 マネジメント 規 程 を 策 定 する その 目

More information

(6) 事 務 局 職 場 積 立 NISAの 運 営 に 係 る 以 下 の 事 務 等 を 担 当 する 事 業 主 等 の 組 織 ( 当 該 事 務 を 代 行 する 組 織 を 含 む )をいう イ 利 用 者 からの 諸 届 出 受 付 事 務 ロ 利 用 者 への 諸 連 絡 事 務

(6) 事 務 局 職 場 積 立 NISAの 運 営 に 係 る 以 下 の 事 務 等 を 担 当 する 事 業 主 等 の 組 織 ( 当 該 事 務 を 代 行 する 組 織 を 含 む )をいう イ 利 用 者 からの 諸 届 出 受 付 事 務 ロ 利 用 者 への 諸 連 絡 事 務 職 場 積 立 NISAに 関 するガイドライン 第 1 章 総 則 1. 制 定 の 趣 旨 NISA 推 進 連 絡 協 議 会 は NISA 推 進 連 絡 協 議 会 に 参 加 する 業 界 団 体 等 に 属 する 金 融 商 品 取 引 業 者 及 び 金 融 機 関 等 ( 以 下 NISA 取 扱 業 者 という )が 取 り 扱 う 職 場 積 立 NISAについて 適 正 かつ

More information

も く じ 1 税 源 移 譲 1 2 何 が 変 わったのか 改 正 の 3 つ の ポイント ポイント1 国 から 地 方 へ 3 兆 円 規 模 の 税 源 が 移 譲 される 2 ポイント2 個 人 住 民 税 の 税 率 構 造 が 一 律 10%に 変 わる 3 ポイント3 個 々の 納

も く じ 1 税 源 移 譲 1 2 何 が 変 わったのか 改 正 の 3 つ の ポイント ポイント1 国 から 地 方 へ 3 兆 円 規 模 の 税 源 が 移 譲 される 2 ポイント2 個 人 住 民 税 の 税 率 構 造 が 一 律 10%に 変 わる 3 ポイント3 個 々の 納 住 民 税 が 変 わす 税 源 移 譲 青 森 県 も く じ 1 税 源 移 譲 1 2 何 が 変 わったのか 改 正 の 3 つ の ポイント ポイント1 国 から 地 方 へ 3 兆 円 規 模 の 税 源 が 移 譲 される 2 ポイント2 個 人 住 民 税 の 税 率 構 造 が 一 律 10%に 変 わる 3 ポイント3 個 々の 納 税 者 の 負 担 が 増 えないようにする

More information

<6D33335F976C8EAE825081698CF6955C9770816A2E786C73>

<6D33335F976C8EAE825081698CF6955C9770816A2E786C73> 国 立 大 学 法 人 新 潟 大 学 の 役 職 員 の 報 酬 給 与 等 について Ⅰ 役 員 報 酬 等 について 1 役 員 報 酬 についての 基 本 方 針 に 関 する 事 項 1 平 成 18 年 度 における 役 員 報 酬 についての 業 績 反 映 のさせ 方 本 学 が 定 める 役 員 に 支 給 する 期 末 特 別 手 当 (ボーナス)において, 役 員 の 本 給

More information

1

1 精 華 町 個 人 情 報 保 護 条 例 改 正 に 向 けての 考 え 方 ( 案 ) 平 成 27 年 4 月 精 華 町 0 1 目 次 1 個 人 情 報 保 護 に 関 する 法 体 系 と 番 号 法 における 特 定 個 人 情 報 の 保 護 措 置... 1 2 番 号 法 と 精 華 町 個 人 情 報 保 護 条 例 における 個 人 情 報 の 定 義 上 の 差 異...

More information

第 1 条 適 用 範 囲 本 業 務 方 法 書 は 以 下 の 性 能 評 価 に 適 用 する (1) 建 築 基 準 法 施 行 令 ( 以 下 令 という ) 第 20 条 の7 第 1 項 第 二 号 表 及 び 令 第 20 条 の 8 第 2 項 の 認 定 に 係 る 性 能 評

第 1 条 適 用 範 囲 本 業 務 方 法 書 は 以 下 の 性 能 評 価 に 適 用 する (1) 建 築 基 準 法 施 行 令 ( 以 下 令 という ) 第 20 条 の7 第 1 項 第 二 号 表 及 び 令 第 20 条 の 8 第 2 項 の 認 定 に 係 る 性 能 評 一 般 財 団 法 人 ベターリビング 平 成 16 年 7 月 23 日 制 定 平 成 19 年 6 月 20 日 改 定 平 成 23 年 12 月 1 日 改 定 シックハウス 対 策 に 関 する 居 室 等 の 性 能 評 価 業 務 方 法 書 注 ) 本 業 務 方 法 書 は 予 告 なく 変 更 することがあります 1 第 1 条 適 用 範 囲 本 業 務 方 法 書 は 以

More information

Speed突破!Premium問題集 基本書サンプル

Speed突破!Premium問題集 基本書サンプル は じ め に 合 格 (うかる) 勉 強 とは 1 試 験 に 合 格 するのに 絶 対 的 に 必 要 なことは 問 いに 正 しく 答 えることである このあたりまえのことを 考 えたこと 有 りますか 2 試 験 問 題 の 出 題 (= 作 問 )の 仕 方 から 勉 強 方 法 を 考 える 択 一 試 験 では 必 ず 正 誤 が 問 われるのでついつい 思 考 が 二 者 択 一 的

More information

税金読本(8-5)特定口座と確定申告

税金読本(8-5)特定口座と確定申告 と 確 申 告 8-5 複 数 の 証 券 会 社 で 源 泉 徴 収 を 開 設 している 場 合 の 損 益 通 算 の 方 法 における 株 式 債 券 投 資 信 託 と 税 金 源 泉 徴 収 と 確 申 告 源 泉 徴 収 の 場 合 内 の 譲 するか 否 かは 1つの ごとに 選 渡 益 については 確 申 告 は 不 要 です 択 することができます つまり 投 資 家 が 内 の

More information

特別徴収封入送付作業について

特別徴収封入送付作業について 特 別 徴 収 の 事 務 概 要 ( 説 明 資 料 ) 新 居 浜 市 役 所 総 務 部 市 民 税 課 電 話 (0897)65-1224( 直 通 ) 特 別 徴 収 について 所 得 税 の 源 泉 徴 収 義 務 者 は 市 の 指 定 により 市 県 民 税 の 特 別 徴 収 しなければならないと 義 務 付 け られています ( 地 方 税 法 第 321 条 の 4 新 居 浜

More information

任意整理について | 多重債務Q&A | 公益財団法人 日本クレジットカウンセリング協会

任意整理について | 多重債務Q&A | 公益財団法人 日本クレジットカウンセリング協会 第 5 章 任 意 整 理 について Q25 任 意 整 理 のポイント 任 意 整 理 とはどういうことですか そのポイントを 教 えてください 1. 任 意 整 理 とは 任 意 整 理 とは 支 払 能 力 を 超 える 債 務 を 負 っている 債 務 者 について 支 払 能 力 に 応 じた 返 済 計 画 を 立 て その 返 済 計 画 にしたがって 個 々の 債 権 者 との 間

More information

●電力自由化推進法案

●電力自由化推進法案 第 一 八 五 回 参 第 二 号 電 力 自 由 化 推 進 法 案 目 次 第 一 章 総 則 ( 第 一 条 - 第 三 条 ) 第 二 章 電 力 自 由 化 の 基 本 方 針 ( 第 四 条 - 第 九 条 ) 第 三 章 電 力 自 由 化 推 進 本 部 ( 第 十 条 - 第 十 九 条 ) 附 則 第 一 章 総 則 ( 目 的 ) 第 一 条 この 法 律 は 平 成 二 十

More information

2. ど の 様 な 経 緯 で 発 覚 し た の か ま た 遡 っ た の を 昨 年 4 月 ま で と し た の は 何 故 か 明 ら か に す る こ と 回 答 3 月 17 日 に 実 施 し た ダ イ ヤ 改 正 で 静 岡 車 両 区 の 構 内 運 転 が 静 岡 運

2. ど の 様 な 経 緯 で 発 覚 し た の か ま た 遡 っ た の を 昨 年 4 月 ま で と し た の は 何 故 か 明 ら か に す る こ と 回 答 3 月 17 日 に 実 施 し た ダ イ ヤ 改 正 で 静 岡 車 両 区 の 構 内 運 転 が 静 岡 運 地 本 業 務 ニ ュ ー ス J R 東 海 労 静 岡 地 方 本 部 NO.1 8 2 0 1 2 年 6 月 1 9 日 発 行 者 : JR 東 海 労 静 岡 地 方 本 部 山 本 繁 明 申 6 号 に 関 する 幹 事 間 折 衝 を 開 催!! 6 月 15 日 地 本 は 静 岡 車 両 区 に お け る 構 内 運 転 士 に 対 す る 誤 支 給 及 び 戻 入 に つ

More information

説 明 内 容 料 金 の 算 定 期 間 と 請 求 の 単 位 について 分 散 検 針 制 日 程 等 別 料 金 料 金 の 算 定 期 間 と 支 払 義 務 発 生 日 日 程 等 別 料 金 の 請 求 スケジュール 料 金 のお 支 払 い 方 法 その 他 各 種 料 金 支 払

説 明 内 容 料 金 の 算 定 期 間 と 請 求 の 単 位 について 分 散 検 針 制 日 程 等 別 料 金 料 金 の 算 定 期 間 と 支 払 義 務 発 生 日 日 程 等 別 料 金 の 請 求 スケジュール 料 金 のお 支 払 い 方 法 その 他 各 種 料 金 支 払 資 料 3 料 金 の 算 定 期 間 と 請 求 の 単 位 について 平 成 28 年 1 月 27 日 1 月 28 日 東 京 電 力 株 式 会 社 ネットワークサービスセンター 説 明 内 容 料 金 の 算 定 期 間 と 請 求 の 単 位 について 分 散 検 針 制 日 程 等 別 料 金 料 金 の 算 定 期 間 と 支 払 義 務 発 生 日 日 程 等 別 料 金 の 請

More information

現 行 工 業 地 域 準 工 業 地 域 商 業 地 域 近 隣 商 業 地 域 改 正 後 準 工 業 地 域 ( 特 別 業 務 地 区 ( 第 2 種 ) 及 び 指 定 集 積 区 域 を 除 く) 近 隣 商 業 地 域 2 / 7

現 行 工 業 地 域 準 工 業 地 域 商 業 地 域 近 隣 商 業 地 域 改 正 後 準 工 業 地 域 ( 特 別 業 務 地 区 ( 第 2 種 ) 及 び 指 定 集 積 区 域 を 除 く) 近 隣 商 業 地 域 2 / 7 岸 和 田 市 環 境 保 全 条 例 の 一 部 改 正 について 1. 改 正 の 背 景 岸 和 田 市 環 境 保 全 条 例 ( 以 下 条 例 という )では 日 照 障 害 により 近 隣 の 生 活 環 境 に 支 障 を 及 ぼさないことを 目 的 に 建 物 高 さに 対 して 規 制 を 定 めております この 規 制 は 建 築 基 準 法 に 定 める 日 影 規 制 より

More information

平成24年度 業務概況書

平成24年度 業務概況書 平 成 27 年 度 第 3 四 半 期 厚 生 年 金 保 険 給 付 調 整 積 立 金 運 用 状 況 ( 地 方 公 務 員 共 済 組 合 連 合 会 ) 平 成 27 年 度 第 3 四 半 期 運 用 状 況 の 概 要 第 3 四 半 期 末 の 運 用 資 産 額 は 10 兆 1,895 億 円 となりました 第 3 四 半 期 の 修 正 総 合 収 益 率 ( 期 間 率 )は

More information

10 期 末 現 在 の 資 本 金 等 の 額 次 に 掲 げる 法 人 の 区 分 ごとに それぞれに 定 める 金 額 を 記 載 します 連 結 申 告 法 人 以 外 の 法 人 ( に 掲 げる 法 人 を 除 きます ) 法 第 292 条 第 1 項 第 4 号 の5イに 定 める

10 期 末 現 在 の 資 本 金 等 の 額 次 に 掲 げる 法 人 の 区 分 ごとに それぞれに 定 める 金 額 を 記 載 します 連 結 申 告 法 人 以 外 の 法 人 ( に 掲 げる 法 人 を 除 きます ) 法 第 292 条 第 1 項 第 4 号 の5イに 定 める 第 20 号 様 式 記 載 の 手 引 1 この 申 告 書 の 用 途 等 この 申 告 書 は 仮 決 算 に 基 づく 中 間 申 告 ( 連 結 法 人 以 外 の 法 人 が 行 う 中 間 申 告 に 限 ります ) 確 定 した 決 算 に 基 づく 確 定 申 告 及 びこれらに 係 る 修 正 申 告 をする 場 合 に 使 用 します この 申 告 書 は 事 務 所 又 は

More information

<4D F736F F F696E74202D2082C882E982D982C DD8ED88EE688F882CC82B582AD82DD C668DDA9770>

<4D F736F F F696E74202D2082C882E982D982C DD8ED88EE688F882CC82B582AD82DD C668DDA9770> な る ほ ど! 貸 借 取 引 のしくみ Japan Securities Finance Co.,Ltd 1 目 次 1. 貸 借 取 引 について 2. 貸 借 取 引 における 株 式 調 達 について 3. 品 貸 料 の 決 定 方 法 について 4. 制 限 措 置 を 含 む 貸 借 取 引 情 報 について 5.よくある 質 問 Japan Securities Finance Co.,Ltd

More information

弁護士報酬規定(抜粋)

弁護士報酬規定(抜粋) はなみずき 法 律 事 務 所 弁 護 士 報 酬 規 定 ( 抜 粋 ) 2008 年 10 月 改 訂 2014 年 4 月 * 以 下 の 弁 護 士 報 酬 は いずれも 税 込 です ただし D E L の2の 表 に 基 づき 算 出 さ れた 金 額 については 消 費 税 を 上 乗 せした 額 を 弁 護 士 報 酬 とします 目 次 A 法 律 相 談 料 B 顧 問 料 C 手

More information

<9056976C8EAE81698B4C93FC8FE382CC97AF88D38E968D80814595CA8E86816A2E786C73>

<9056976C8EAE81698B4C93FC8FE382CC97AF88D38E968D80814595CA8E86816A2E786C73> 厚 生 労 働 省 職 業 安 定 局 需 給 調 整 事 業 課 労 働 者 派 遣 事 業 書 記 入 のポイント 実 際 の 記 入 にあたっては 様 式 第 11 号 ( 第 3 面 )の 記 載 要 領 をご 覧 の 上 正 確 に 記 入 して 下 さい 一 般 派 遣 元 事 業 主 及 び 特 定 派 遣 元 事 業 主 は 労 働 者 派 遣 事 業 を 行 う 事 業 所 ごとに

More information

佐渡市都市計画区域の見直し

佐渡市都市計画区域の見直し 都 市 計 画 区 域 の 拡 大 について 佐 渡 市 建 設 課 都 市 計 画 とは 土 地 の 使 い 方 や 建 物 の 建 て 方 についての ルールをはじめ まちづくりに 必 要 なことがら について 総 合 的 一 体 的 に 定 め まちづく り 全 体 を 秩 序 だてて 進 めていくことを 目 的 と した 都 市 計 画 法 という 法 律 で 定 められた 計 画 です 住

More information

Microsoft Word - 【溶け込み】【修正】第2章~第4章

Microsoft Word - 【溶け込み】【修正】第2章~第4章 第 4 章 金 要 件 と 金 額 1 ( 高 齢 になった 場 合 に 受 け 取 れる 金 ) 要 件 1 受 資 格 期 間 保 険 料 納 付 済 期 間 と 保 険 料 免 除 期 間 を 合 わせて25 以 上 あること (ただし 金 額 には 反 映 されないが 受 資 格 期 間 には 算 入 される 合 算 対 象 期 間 があります) 消 費 税 が 引 き 上 げられる 27

More information