ディジタルシステム設計

Size: px
Start display at page:

Download "ディジタルシステム設計"

Transcription

1 Z80 Z80 Z80 Z80 ROM RAM I/O Z80PIO Z80CTC Z80SIO R C L Tr OP TTL

2

3 MCB Z MC Z

4 Z80 Z80 TMPZ84015BF KL5C H8 H8 PIC Microchip Technology PIC

5 Z80 F A A' ALU B D H C E L IX IY SP PC C E L IR

6 Z80 A A F F B C D E H L BCDEHL IX IY SP CALL PC I R

7 Z80 A15 A14 A13 A12 A11 A10 A9 A7 A6 A5 A4 A3 A2 A1 A0 D7 D6 D5 D4 D3 D2 D1 D0 A8 WR RD M1 MREQ IORQ RFSH HALT WAIT INT NMI RESET BUSRQ BUSAK V GND CPU CPU Z80 CPU

8 Z80CPU A0-A15 H D7-D0 H M1 L OP OPOP MERQ L IORQ L

9 Z80CPU RD L CPU WR L CPU RFSH L HALT L CPUHALT NOP

10 Z80CPU WAIT L Z80CPU CPU INT L FF) BUSRQ NMI INT T NMI CPUH

11 Z80CPU RESET L RESETCPU IH RH BUSRQ L CPU BUSAK L CPU TTL

12 CPU T1 T2 T3 T4 T1 T2 T3 T1 T2 T3 MI M2 M3 (OP) () () CPU

13 OP M1 T1 T2 T3 T4 T1 A0A15 MREQ RD WAIT M1 DB0DB7 RFSH OP(M1)

14 OP M1 T1 T2 TW TW T3 T4 A0A15 MREQ RD DB0DB M1 WAIT RFSH OP

15 T1 T2 T3 T1 T2 T3 A0A15 MREQ RD WR (D0D7) WAIT 4-3

16 T1 T2 TW TW T3 T1 A0A15 MREQ RD (D0D7) WR (D0D7) WAIT 4-3A

17 A0A7 T1 T2 TW T3 T1 IORQ RD WAIT WR 4-4

18 T1 T2 TW TW T3 A0A7 IORQ RD WAIT WR 4-4A

19 M T Tx Tx Tx T1 BUSRQ BUSAK A0A15 D0D7 MREQRD WRIORQ RFSH 4-5 /

20 M T M1 T1 T2 TW TW* T3 INT A0A15 M1 MREQ IORQ WAIT RD 4-6 /

21 NM1 M T T1 T1 T2 T3 T4 A0A15 M1 MREQ RD RFSH 4-7

22 HALT INT or NM1 M1 M1 T4 T1 T2 T4 T1 T3 M1 T2 4-8

23 M T T1 T2 TW TW TW T3 M1 IORQ IORQ WAIT 1 4-6B 1/

24 Z80 LD ddnn dd <= nn OP dd dd BC 00 DE 01 HL 10 SP 11 HEX 01+ C Z P/V S N H M T

25 Z80 memory register direct addressing register indirect addressing immediate addressing index addressing relative addressing bit addressing

26 Z80

27 OP OP LD A, 80H A <= 80H

28 OP HL LD HL nn HL nn LD HL H

29 OP RST H H RST H H RST H H RST H H

30 OP OP OP JR e PC PC+e JRe

31 OP LD A nn A nn LD A H HA

32 OP OP OP LD A IX A IX A

33 OP OP LD B C B C

34 OP OP ADD A B A A+B EX DE HL DE HL

35 OP LD A, HL A HL) HLA

36 Z80 CPU BIT A A F RES HL SET IX HL

37 1. ADD A, B A <= A + B 2. LD A, (0100H) A <= (0100H)

38 Z80 data transfer instruction ) ( operation instruction ) ( branch instruction ) ( subroutine call / returninstruction ) ( input / output instruction ) CPU( CPU control instruction )

39 LD LD LD nn nn LD nn) (nn) LD nn (nn) LD A A LD A A ABCDEHL n nn BC,DEHL PUSH SP H SPL POP L SP H SP

40 ADD ADD A,n A A+n ADC ADC A,n A A n + CY SUB SUB s A A - s SBC SBC s A A s - CY AND AND s A A s XOR XOR s A A s OR OR s A A s CP CP s A - s ( INC INC r r DEC DEC r r r 1

41 JP nn JP ccnn PC nn if cc = true, PC <= nn cc NZ Z= Z Z= NC CY MSB C CY MSB PO P= PE P P S MSB M S MSB

42 CALL nn PC PC<=nn SP-1)PCH SP-2PCL PCnn RET PC PCLSPPCHSPSPSP+1 CALL ccnn RET cc cccall ccret NZ Z= Z Z= NC CY MSB C CY MSB PO P= PE P P S MSB M S MSB

43 IN A, (n) A IN r, ( C ) r <= ( C ) C OUT (n)a A OUT C)A CA OTIROUTDOTDRINIINIRINDINDR

44 CPU NOP HALT DI EI IM0 IM1 IM A H I

45 CY RLC CY SLA CY RRC CY SRA CY RL CY SRL CY RR B3 B0 B7 B B3 B0 B3 B0 B7 B B3 B0 Acc HL) RLD Acc HL) RRD

46 CPI ; CPIR ; A(HL) HL <= HL+1 BC <= BC++1 HL)A A(HL) HL <= HL+1 BC <= BC++1 HL)A BC CPD A=(HL), HL <= HL-1, BC <= BC-1 HL)A CPDR ; A=(HL), HL <= HL-1, BC <= BC-1 HL)A BC

47 EXX BC BC DE DE HL HL EX DE, HL DE HL EX AF, AF AF AF EX SP), HL L SP) H SP+1) EX SP), IX IXL SP) IXH SP+1) EX SP) IY IYL SP) IYH SP+1)

48 RST 0 H C7H RST 8 H CFH RST 16 H D7H RST 24 H DFH RST 32 H E7h RST 40 H EFH RST 48 H F7H RST 56 H FFH

49 DAA A CPL A NEG CCF SCF AA A CY

50 LDI (DE) <= (HL), DE <= DE+1, HL <= HL+1, BC <= BC-1 HL)DE LDIR (DE) <= (HL), DE <= DE+1, HL <= HL+1, BC <= BC-1 HL)DEBC LDD (DE) <= (HL), DE <= DE1, HL <= HL1, BC <= BC1 HL)DE LDDR (DE) <= (HL), DE <= DE1, HL <= HL1, BC <= BC1 HL)DEBC

51

52

53 Σ

54

55

56 CPU

57

58

59 MSB LSB S Z X H X P/V N CY S MSB=1 Z X H X P/V N ADD SUB CY MSB

60

61

62

63

64

65

66

67

68 START CALL CALL CALL CALL CALL CALL N START START RETI START RETI CALL START START N RETI RETI

69 Z80 INT Z80 CPU Vcc I/O I/O I/O I/O4 06H 00H 02H 04H IEI IEO IEI IEO IEI IEO IEI IEO I H H H H L L L L L 0000H IO2 H I IO2 H ;PIO MODO H 00H ORG 0000H 2802H 30H LD SP, 0000H 00H 40H IM H 00H LD A, 28H 50H LD I, A 3000H 4000H 5000H FFFFH LD A, B OUT (PIOCON), A LD A,00H OUT (PIOCON),A LD A, B ; OUT (PIOCON), A

70 Z80 Vcc H H H H H IEI IEO IEI IEO IEI IEO IEI IEO Vcc H H H L L IEI IEO IEI IEO IEI IEO IEI IEO Vcc H H L L L IEI IEO IEI IEO IEI IEO IEI IEO Vcc H H H L L IEI IEO RETI IEI IEO IEI IEO RETI Vcc H H H H IEI IEO IEI IEO RETI IEI IEO H RETI

71

72

73

74

75 16 Add BUS Data BUS 8 13 Control BUS CPU ROM RAM I/O1 I/O2 I/O i8255 Z80PIO CPU ROM RAM I/O INPUT/OUTPUT CPU etc

76 CPU CPU ON CPU

77 IC Read write memory RWM read only memory ROM

78 IC IC RAM RAM random access memory PROM programmable ROM ROM read only memory SAM sequential access memory EPROM erasable PROM DRAM dynamic RAM SRAM static RAM EEPROM electrically erasable PROM EPROM ROM CCD charge coupled device)

79

80 Kbit 4 Kbit KB Kbit KB Mbit 131KB = Gbit MB

81 K D7 D0 Bit bit bit

82 ROM KB H 1FFFH 2764 RAM KB H FFFH 6264

83 i i C

84 D7 PA7 A D0 PA0 PC7 CS RD WR PC4 PC3 PC0 PB7 A1 A0 B RESET PB0

85 i CPU CPU A A PA A PA-PA7 PC4-PC7 RD PC-PC WR A1 A0 RESET PB-PB CS

86 i PA PC PC PB PAPA PC PB PC PB PA A CPC7-PC3

87 i D6D5 D6D5 D6D5X A OUTPUT INPUT C B OUTPUT INPUT A,B A,B A B C D7 D6 D5 D4 D3 D2 D1 D0 OUTPUT INPUT

88 i C D3 D2 D1 PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 H") L") D7 D6 D5 D4 D3 D2 D1 D0

89

90 Z80PIO Z80PIO

91

92 Z80PIO

93 PIO D7 D6 D5 D4 D3 D2 D1 D0 M1 M0 X X NO YES D7 D6 D5 D4 D3 D2 D1 D0 IO7 IO6 IO5 IO4 IO3 IO2 IO1 IO0 D7 D6 D5 D4 D3 D2 D1 D0 EI A/O H/L MF NO YES D7 D6 D5 D4 D3 D2 D1 D0 MB7 MB6 MB5 MB4 MB3 MB2 MB1 MB0 NO YES D7 D6 D5 D4 D3 D2 D1 D0 V7 V6 V5 V4 V3 V2 V1 V0 Z80PIO D0

94

95

96

97 i

98 (transmitter data (receiver data (data set read) data terminal ready) clear to send) request to send) TxE (transmitter empty) (transmitter ready) xe=1 RxRDY(receiver ready) TxC (transmitter clock) receiver clock)

99

100 Z80CTC

101

102 Z80SIO

103

104

105

106

107 PNPNPN

108 TTL

109

110 OP

111 OP

112 OP

113 OP

114 OP

115 OP

116 OP

117 OP

118 FET

119 OR,NOR)

120 (AND NAND)

121 (BUFFER NOR)

122

123

124 ONH

125

126

127

128

129

130

131

132 i

133

134

135 LED

136

137

138

139

140

141

142

143

144

145

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1 1 8 Z80 Z80 20 8080 GBA ASIC 2 WINDOWS C 1 2.1 Z-80 A 0 - A 15 CPU Z80 D 0- D 7 I/O Z80 1: 1 (1) CPU CPU Z80 CPU Z80 AND,OR,NOT, (2) CPU (3) I/O () Z80 (4) 2 Z80 I/O 16 16 A 0, A 1,, A 15 (5) Z80I/O 8

More information

NAND FF,,

NAND FF,, 1. 1.1. NAND FF,, 1.2. 2. 1 3. アドレス ( 番地 ) 0 99 1 3 2 4 3 20 4 2 5 20 4. 8bit(0255) 7(3+4) 16 8 命令表 (0~255) コード内容 ( 機械語 ) ( 次の番地の内容 )+( 次の次の番地の内 99 容 ) の結果を次の次の次に書いてある番地に格納 2STOP A0A7, A8A15 D0D7 2 4.2.

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

<5A2D38308A E9197BF2E786C73>

<5A2D38308A E9197BF2E786C73> A.Z-80 レジスタ構成図 主レジスタ 補助レジスタ アキュームレータ A フラグレジスタ F アキュームレータ A' フラグレジスタ F' B C B' C' D E D' E' 汎用レジスタ H L H' L' インタラプトベクトルレジスタ I メモリリフレッシュレジスタ R インデックスレジスタ IX インデックスレジスタ IY 専用レジスタ スタックポインタ SP プログラムカウンタ PC

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

ND80ZⅢ応用プログラムq

ND80ZⅢ応用プログラムq Z80 命令説明書 ( 有 ) 中日電工 目次 [ はじめに ] 1 Ⅰ. レジスタについて 1 Ⅱ. 8 ビット転送命令 1 1.LD, 1 2.LD,(HL) 1 3.LD (HL), 1 4.LD,n 1 5.LD (HL),n 1 6.LD A,(BC) 2 7.LD A,(DE) 2 8.LD A,(nn) 2 9.LD (BC),A 2 10. LD (DE),A 2 11. LD (nn),a

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

                 ZB11W取扱説明書

                 ZB11W取扱説明書 KL5C8012(Z80) 命令説明書 ( 有 ) 中日電工 目次 Ⅰ. レジスタについて 1 Ⅱ. 8 ビット転送命令 2 1.LD, 2 2.LD,(HL) 2 3.LD (HL), 2 4.LD,n 2 5.LD (HL),n 2 6.LD A,(BC) 2 7.LD A,(DE) 3 8.LD A,(nn) 3 9.LD (BC),A 3 10. LD (DE),A 3 11. LD (nn),a

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM 2014/4/22 1 1.1 SRAM SRAM 1 128K 1M 128K 8 17 8 SRAM CS 1 OE 2 WE 3 CS OE WE V CC V SS 1: SRAM SRAM 2 2 (a) t ACC t RC 1 2 (b) t CSW CS 1 chip select 2 output enable 3 write enable 1 c 2014 2 t WC 1 2:

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

目次 [ はじめに ] 1 [1] レジスタについて 1 [2] 8ビット転送命令 1 1. MOV 1, MOV,M 2 3. MOV M, 2 4. MVI,B MVI M,B LDAX B 2 7. LDAX D 2 8. LDA NN 2 9. STAX

目次 [ はじめに ] 1 [1] レジスタについて 1 [2] 8ビット転送命令 1 1. MOV 1, MOV,M 2 3. MOV M, 2 4. MVI,B MVI M,B LDAX B 2 7. LDAX D 2 8. LDA NN 2 9. STAX ND80ZⅢ 8080 命令説明書 ( 有 ) 中日電工 目次 [ はじめに ] 1 [1] レジスタについて 1 [2] 8ビット転送命令 1 1. MOV 1,2 1 2. MOV,M 2 3. MOV M, 2 4. MVI,B2 2 5. MVI M,B2 2 6. LDAX B 2 7. LDAX D 2 8. LDA NN 2 9. STAX B 2 10. STAX D 2 11. STA

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

,, ( ) 5 ma ( ) 5V V 5 6 A B C D E F G H I J , LED LED, LED, 7 LED,, 7 LED ( ) V LED VCC 5V 7 LED VCC f g f a g b a b c e d e d c dp dp VCC (

,, ( ) 5 ma ( ) 5V V 5 6 A B C D E F G H I J , LED LED, LED, 7 LED,, 7 LED ( ) V LED VCC 5V 7 LED VCC f g f a g b a b c e d e d c dp dp VCC ( [] PIC 8 (/6, 6/ ) (/, 6/) (5/7, 6/8) PIC PIC PIC (5/, 6/5) V 5 (5/, 7/ ) V LED ( LED ( /, 6/) V V V ( 5/8, 6/9) V ( 5/5, 6/6) ( V 5/8, 7/ 9) V % 6%, LED, LED /7, 6/ 5) 7,, LED, LED LED ,, ( ) 5 ma ( )

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

76 3 B m n AB P m n AP : PB = m : n A P B P AB m : n m < n n AB Q Q m A B AQ : QB = m : n (m n) m > n m n Q AB m : n A B Q P AB Q AB 3. 3 A(1) B(3) C(

76 3 B m n AB P m n AP : PB = m : n A P B P AB m : n m < n n AB Q Q m A B AQ : QB = m : n (m n) m > n m n Q AB m : n A B Q P AB Q AB 3. 3 A(1) B(3) C( 3 3.1 3.1.1 1 1 A P a 1 a P a P P(a) a P(a) a P(a) a a 0 a = a a < 0 a = a a < b a > b A a b a B b B b a b A a 3.1 A() B(5) AB = 5 = 3 A(3) B(1) AB = 3 1 = A(a) B(b) AB AB = b a 3.1 (1) A(6) B(1) () A(

More information

HXテクニカルマニュアル

HXテクニカルマニュアル UNI-WIRE HX 1.1 i UNI-WIRE AnyWire ii iii 1...4 11 UNI-WIRE HX... 4 12... 5 UNI-WIRE HX...5...5...5 13 UNI-WIRE HX... 7...7...9...9...9...10...10 14 UNI-WIRE HX... 11...11...11...12...13...13...17 15 I/O...

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

A7ECG圧縮Z80program.doc

A7ECG圧縮Z80program.doc A.7 A.7.1 4.5 Z80CPU Z80 XA-80 ROM ICE(In-Circuit Emulator Z-Vision Pro A/D A/D CPU 4ms CPU 1 1 200s RS-232C PC A.7.2 list.a.7.1 A/D List.A.7.2 list.a.7.1 include List.A.7.1 bank10.asm ECG INCLUDE "DEFIO.ASM"

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

SED1353 Technical Manual

SED1353 Technical Manual SED1353 Series Dot Matrix Graphics LCD Controller MF119-1b 1. 2. 3. 4. 5. 6. MS-DOS Windows Microsoft PC/AT VGA IBM International Business Machines SEIKO EPSON CORPORATION 1997 SED1353 Series Dot Matrix

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 2017 年度前期 第 4 回 前回の話 コンピュータバスの構成 データバス I/O (Input/ Output) CPU メモリ アドレスバス コントロールバス コンピュータバスは コンピュータ本体 (CPU) と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である CPU は バス を制御して 複数のデバイス ( メモリや I/O)

More information

untitled

untitled ( ) () ( ) 1 1 TX19A31_AG3 TX19A/H1 CPUTMP19A31CYFG AG3 AG3 2 2 3 TX19A31_AG3 CPU 32 RISC TMP19A31CYFG (U1) 80MHz ROM 32Mbit ROM 1 ROM (U8) 16Mbit Flash ROM 1 (U9) RAM 4Mbit SRAM 1 (U10) I/F RS232C 1chSIO

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A

1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A 2 2010 11 8 0 9 5 7 0 7 B 2010 11 15 095701B 095703J 1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP2 3 3.1 (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A 2.11 1 3.2 (2) () () 3.2.1 (a) 1. 1 1: () 00 C0

More information

oaks32r_m32102

oaks32r_m32102 OAKS32R-M32102S6FP 2 OAKS32R OAKS32R-M32102S6FP M32102S6FP... 4... 5... 6... 7 4.1. CPU...7 4.2. Flash ROM SDRAM....8 4.3. LANC....8 4.4. RS232C....9 4.5. CPU....9 4.6.....9 4.7....10 4.8. SDI....10...

More information

I. Opal SSC 1. Opal SSC 2. Opal Storage 3. Opal Storage MBR Shadowing 6. SP II. TCG Opal SSC HDD 9. Opal SSC HDD *1. TCG: Trusted Computin

I. Opal SSC 1. Opal SSC 2. Opal Storage 3. Opal Storage MBR Shadowing 6. SP II. TCG Opal SSC HDD 9. Opal SSC HDD *1. TCG: Trusted Computin TCG Opal Yoshiju Watanabe Firmware Common Engineering Group Firmware Development Department November 4, 2010 I. Opal SSC 1. Opal SSC 2. Opal Storage 3. Opal Storage 4. 5. MBR Shadowing 6. SP 7. 8. II.

More information

OABC OA OC 4, OB, AOB BOC COA 60 OA a OB b OC c () AB AC () ABC D OD ABC OD OA + p AB + q AC p q () OABC 4 f(x) + x ( ), () y f(x) P l 4 () y f(x) l P

OABC OA OC 4, OB, AOB BOC COA 60 OA a OB b OC c () AB AC () ABC D OD ABC OD OA + p AB + q AC p q () OABC 4 f(x) + x ( ), () y f(x) P l 4 () y f(x) l P 4 ( ) ( ) ( ) ( ) 4 5 5 II III A B (0 ) 4, 6, 7 II III A B (0 ) ( ),, 6, 8, 9 II III A B (0 ) ( [ ] ) 5, 0, II A B (90 ) log x x () (a) y x + x (b) y sin (x + ) () (a) (b) (c) (d) 0 e π 0 x x x + dx e

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

HN58X2402SFPIAG/HN58X2404SFPIAG

HN58X2402SFPIAG/HN58X2404SFPIAG お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Taro11-表紙と目次.PDF

Taro11-表紙と目次.PDF 2 1 2 2 3 4 6 1 6 2 7 10 PIC 11 PIC16F84A 14 1 IC 14 2 PIC16F84A 14 3 PIC 16 4 PIC 23 26 PIC 31 33 35 38 38 39 1 PICLite 39 2 my.h 41 43 1 43 2 44 3 45 4 PIC 46 5 PIC 48 49 1 LED 49 2 LED 53 3 LED 55 4

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3

3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3 1 ROM 3 1EEPROMElectrically Erasable PROM PROMProgrammable ROM 2 EEPROM 3 000 001 EEPROM 3FF 14bit1024 A B 00 INDIRECT ADDR 80 INDIRECT ADDR 01 TMR0 81 OPTION 02 PCL 82 PCL 03 STATUS 83 STATUS 04 FSR 84

More information

取扱説明書 [F-02F]

取扱説明書 [F-02F] F-02F 4. 2 3 4 5 6 7 8 9 0 2 3 4 5 6 7 8 a b c d a b c d a b cd 9 e a b c d e 20 2 22 ab a b 23 a b 24 c d e 25 26 o a b c p q r s t u v w d h i j k l e f g d m n a b c d e f g h i j k l m n x 27 o

More information

TR Ver 1.33 TDR-OTH-ANTRelay-133

TR Ver 1.33 TDR-OTH-ANTRelay-133 TR3 2012 4 5 Ver 1.33 TDR-OTH-ANTRelay-133 TDR-OTH-ANTRelay-133 ... 1... 1... 2... 2... 3... 3... 4... 6... 6... 8... 8... 10... 12... 12... 14... 16... 16... 18... 20... 20... 22... 24... 24... 26...

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

A-GAGE High - Resolution MINI ARRAY Instruction Manual Printed in Japan J20005M

A-GAGE High - Resolution MINI ARRAY Instruction Manual     Printed in Japan J20005M A-GAGE High - Resolution MINI ARRAY Instruction Manual E-mail : mail@bannerengineering.co.jp http://www.bannerengineering.com Printed in Japan J20005M4 page 2 page 3 page 4 page 5 page 6 page 7 page 8

More information

untitled

untitled CISC(complex instruction set computer) RISC(reduced instruction set computer) (cross software) (compiler) (assembler) (linkage editor) (loader) tokenizer) (parser) (code generator) (execute) GNU http://www.gnu.org/

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

案内最終.indd

案内最終.indd 1 2 3 4 5 6 IC IC R22 IC IC http://www.gifu-u.ac.jp/view.rbz?cd=393 JR JR JR JR JR 7 / JR IC km IC km IC IC km 8 F HPhttp://www.made.gifu-u.ac.jp/~vlbi/index.html 9 Q01a N01a X01a K01a S01a T01a Q02a N02a

More information

c J 2013/11/ H8/3062BF H NOP 2 PUSH.L ER5 1: 3 4, ER7 ), ER5 4 PUSH.L ER5 5 MOV.L 8, ER7 )

c J 2013/11/ H8/3062BF H NOP 2 PUSH.L ER5 1: 3 4, ER7 ), ER5 4 PUSH.L ER5 5 MOV.L 8, ER7 ) c 2013 2013 2J 2013/11/29 1 2 0 H8/3062BF H8 1 2 10 1 1 NOP 2 PUSH.L ER5 1: 3 MOV.L @(H 4, ER7 ), ER5 4 PUSH.L ER5 5 MOV.L ER6,@(H 8, ER7 ) 1 1 1 1 10 1 16 1: 2013 2J c 2013 2 2 5 6 2 1 B 2: 1. CPU 300

More information

sm1ck.eps

sm1ck.eps DATA SHEET DS0 0 ASSP, IC,,,,, (VS =. V.%) (VCC = 0. V ) (VR =. V.%) ( ) DIP, SIP, SOP, (DIP-P-M0) (SIP-P-M0) (FPT-P-M0) (FRONT VIEW) (TOP VIEW) C T C T V S V REF V CC V CC V REF V S (DIP-P-M0) (FPT-P-M0)

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

untitled

untitled PIC Pic MPLAB HEX Pic PIC 18CXXX 14000 17CXXX 16C92X 16F8XX 16C7XX 16C6XX 16C62X 16F8X 12C5XX 16C5X 16C55X 12C6XX d f b f k k PIC 4 2 1 2 1 SPI SPI,SSART SPI 4 5 8 1 2 SPI,USART 1 64 128 256 8 (10bit)

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

xi21-x.dvi

xi21-x.dvi 8 7 1 1 1 2018 12 21 2018 (2) : 50, 67, 60 ( ),, (8 7 1 1),, WWW ( ) (ID ) : WWW :, 1 11 ( ) MIPS x86 Mem[a,b], a b MIPS lw Rt,Imm(Rs) Rt = Mem[ Rs + sx(imm),4] sw Rt,Imm(Rs) Mem[ Rs + sx(imm),4] = Rt

More information

勝てる相撲ロボットの作り方

勝てる相撲ロボットの作り方 10 59 60 20 20 8.5cm 2965g 20.8V 1.3m s 3.7kgf 70cm 20 10cm 61 mm 06 338 6161 mm PZ51L MA40S2 ( ) 06 644 4446 TLP903 AKI80 03 3251 1779 1.2V 2200mAh DC TG05C AM 12.5KA 06 532 4931 2SJ122, 2SK428 06 644

More information

IMAT05-10

IMAT05-10 TG-150 Title Generator 1 st EDITION - Rev.4 [] [] [] [] [] ...1...1 1....3 1-1....3 1-2....3 2....4 2-1....4 2-2....5 3....6 3-1....6 3-2....6 4....7 4-1....8 4-2....9 5....12 5-1....12 5-2....12 6....13

More information

PIC18 Istructios PIC16, PIC x Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52

PIC18 Istructios PIC16, PIC x Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52 PIC18 2003 Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 51 PIC18 Istructios PIC16, PIC17 16 16 8x8 2003 Microchip Techology Icorporated. All Rights Reserved. PICmicro PIC18 52 PIC18

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

untitled

untitled I/O DP/FMS DP 750-301 750-303 750-323 750-131 Ver. 2.3.1 2009.1. 7 Copyright 1997-2001 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Hansastraβe 27 D-32423 Minden +49 0 571/8 87-0 +49 0 571/8 87-1

More information

Microsoft Word - .....J.^...O.|Word.i10...j.doc

Microsoft Word - .....J.^...O.|Word.i10...j.doc P 1. 2. R H C H, etc. R' n R' R C R'' R R H R R' R C C R R C R' R C R' R C C R 1-1 1-2 3. 1-3 1-4 4. 5. 1-5 5. 1-6 6. 10 1-7 7. 1-8 8. 2-1 2-2 2-3 9. 2-4 2-5 2-6 2-7 10. 2-8 10. 2-9 10. 2-10 10. 11. C

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

IO IO IO IO IO IO IO 8 8 8 7 279 289 299 309 319 329 339 349 359 369777 Z3 16024 0 1 051 050 051 050 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 6 0 0 0 0 JW-262S49 00 08 6 53 No. ON 7 5 4 3 2 1

More information

70 : 20 : A B (20 ) (30 ) 50 1

70 : 20 : A B (20 ) (30 ) 50 1 70 : 0 : A B (0 ) (30 ) 50 1 1 4 1.1................................................ 5 1. A............................................... 6 1.3 B............................................... 7 8.1 A...............................................

More information

untitled

untitled COPAL ELECTRONICS 32 (DP) DP INC 2 3 3 RH RL RWB 32 C S U/D INC U/D CS 2 2 DP7114 32 SOIC CMOS 2.5 V - 6.0 V / 10 kω 50 kω 100 kω TSSOP MSOP /BFR INC / U/D RH RoHS GND RWB RL CS VCC 2017 6 15 1 : R = 2

More information

Microsoft PowerPoint - NxLecture ppt [互換モード]

Microsoft PowerPoint - NxLecture ppt [互換モード] 011-05-19 011 年前学期 TOKYO TECH 命令処理のための基本的な 5 つのステップ 計算機アーキテクチャ第一 (E) 5. プロセッサの動作原理と議論 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W61 講義室木曜日 13:0-1:50 IF(Instruction Fetch) メモリから命令をフェッチする. ID(Instruction Decode)

More information

1516-機器センサ_J.indb

1516-機器センサ_J.indb 機器用センサ Grid-EYE Grid-EYE Grid-EYE Grid-EYE Grid-EYE 着座検知 扉開閉 洗濯機の水位検知 電子レンジ PS-A 微圧タイプ 水位検知 Grid-EYE 温度計測 位置検知 熱 軸GF 赤外線アレイセンサ Grid-EYE 設計 仕様について予告なく変更する場合があります ご購入及びご使用前に当社の技術仕様書などをお求め願い それらに基づいて購入及び使用していただきますようお願いします

More information

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) (

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( (2001/11/13) Express5800/120Lf 1. Express5800/120Lf N8100-748 N8100-751 N8100-754 Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( /1.26G(512)) CPU Hot-Plug Pentium (1.0BGHz)

More information

2001 Mg-Zn-Y LPSO(Long Period Stacking Order) Mg,,,. LPSO ( ), Mg, Zn,Y. Mg Zn, Y fcc( ) L1 2. LPSO Mg,., Mg L1 2, Zn,Y,, Y.,, Zn, Y Mg. Zn,Y., 926, 1

2001 Mg-Zn-Y LPSO(Long Period Stacking Order) Mg,,,. LPSO ( ), Mg, Zn,Y. Mg Zn, Y fcc( ) L1 2. LPSO Mg,., Mg L1 2, Zn,Y,, Y.,, Zn, Y Mg. Zn,Y., 926, 1 Mg-LPSO 2566 2016 3 2001 Mg-Zn-Y LPSO(Long Period Stacking Order) Mg,,,. LPSO ( ), Mg, Zn,Y. Mg Zn, Y fcc( ) L1 2. LPSO Mg,., Mg L1 2, Zn,Y,, Y.,, Zn, Y Mg. Zn,Y., 926, 1 1,.,,., 1 C 8, 2 A 9.., Zn,Y,.

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

A(6, 13) B(1, 1) 65 y C 2 A(2, 1) B( 3, 2) C 66 x + 2y 1 = 0 2 A(1, 1) B(3, 0) P 67 3 A(3, 3) B(1, 2) C(4, 0) (1) ABC G (2) 3 A B C P 6

A(6, 13) B(1, 1) 65 y C 2 A(2, 1) B( 3, 2) C 66 x + 2y 1 = 0 2 A(1, 1) B(3, 0) P 67 3 A(3, 3) B(1, 2) C(4, 0) (1) ABC G (2) 3 A B C P 6 1 1 1.1 64 A6, 1) B1, 1) 65 C A, 1) B, ) C 66 + 1 = 0 A1, 1) B, 0) P 67 A, ) B1, ) C4, 0) 1) ABC G ) A B C P 64 A 1, 1) B, ) AB AB = 1) + 1) A 1, 1) 1 B, ) 1 65 66 65 C0, k) 66 1 p, p) 1 1 A B AB A 67

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

( )

( ) 18 10 01 ( ) 1 2018 4 1.1 2018............................... 4 1.2 2018......................... 5 2 2017 7 2.1 2017............................... 7 2.2 2017......................... 8 3 2016 9 3.1 2016...............................

More information

DB0

DB0 IRQ CS# A0 RD# WR# DB0- CPU I/F FIFO/RAM 88 Timing Control Key In Control Scan Counter SHIFT CNTl/STB RL0-# SL0- BD# RESET CLK Display RAM 8 Display Drive OUTB0- OUTA0- RL# RL# RL# RL# RESET RD# WR# GND

More information

FCシリンダ

FCシリンダ CAT. No. KS-570-01 C ujikura cylinder INDEX Page CS - - -22 CS - -3 - CD - -3 - CS -40-0 -4 CD -40-0 -4 CS - -20-3 CD - -20-3 CL-400 VCS CDR -400 1 ujikura Cylinders 2 3 4 C 0 3 0.0.7 00 CD 0 4 S0 P CS

More information

SIRIUS_CS3*.indd

SIRIUS_CS3*.indd SIRIUS Innovations SIRIUS SIRIUS Answers for industry. SIRIUS SIRIUS S00 S0 SIRIUS SIRIUS ZX0-ORAZ-0AB0 7.5kW 6 S00 7 8 7.5kW 9 S00 0 8.5kW S0 8.5kW S0 5 6 7 IO-Link AS-InterfaceRT 8 8US 5 6 SIRIUS SIRIUS

More information

Express5800/120Le

Express5800/120Le Workgroup/Department 1. N8500-579A N8500-671 N8500-672 (/800EB (256)-27AWS) (/800EB (256)-27AW2S) (/800EB(256)) Windows NT Server 4.0 Windows 2000 Server CPU Pentium800EBMHz1 2 L1 32KB L2 256KB 128MB 4GB

More information

Express5800/120Ra-1

Express5800/120Ra-1 1. CPU L1 L2 CD-ROM LAN OS OS N8100-661A ( /1BG(256)) Pentium 1.0BGHz 1 2 32KB 256KB 128MB 4GB (73.2GB 2) 10 24 100BASE-TX 10BASE-T 2 640 480 1280 1024* 2. DISK LINK/ACT(LAN1) STATUS LINK/ACT(LAN2) POWER/SLEEP

More information

imai@eng.kagawa-u.ac.jp No1 No2 OS Wintel Intel x86 CPU No3 No4 8bit=2 8 =256(Byte) 16bit=2 16 =65,536(Byte)=64KB= 6 5 32bit=2 32 =4,294,967,296(Byte)=4GB= 43 64bit=2 64 =18,446,744,073,709,551,615(Byte)=16EB

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

a, b a bc c b a a b a a a a p > p p p 2, 3, 5, 7,, 3, 7, 9, 23, 29, 3, a > p a p [ ] a bp, b p p cq, c, q, < q < p a bp bcq q a <

a, b a bc c b a a b a a a a p > p p p 2, 3, 5, 7,, 3, 7, 9, 23, 29, 3, a > p a p [ ] a bp, b p p cq, c, q, < q < p a bp bcq q a < 22 9 8 5 22 9 29 0 2 2 5 2.............................. 5 2.2.................................. 6 2.3.............................. 8 3 8 4 9 4............................. 9 4.2 S(, a)..............................

More information

R1EX24256BSAS0I/R1EX24256BTAS0I データシート

R1EX24256BSAS0I/R1EX24256BTAS0I データシート R1EX24256BSAS0I R1EX24256BTAS0I Two-wire serial interface 256k EEPROM (32-kword 8-bit) R10DS0003JJ0400 Rev.4.00 R1EX24xxx 2 EEPROM ROM MONOS CMOS 64 1.8V 5.5V 2 (I 2 C ) 400kHz 2.0μA (max) 1.0mA (max)

More information

FAX780CL_chap-first.fm

FAX780CL_chap-first.fm FAX-780CL ABCDEFGHIα 01041115:10 :01 FAX-780CL α 1 1 2 3 1 2 f k b a FAX-780CL α n p q 09,. v m t w FAX-780CL A BC B C D E F G H I c i c s s i 0 9 V X Q ( < N > O P Z R Q: W Y M S T U V i c i k

More information

only my information

only my information 1 only my information 2010 17 Special thanks 17 2006 2010 60 90 A4 2 1 1 CD 2 3 A B A B A 1/4 B B 3/4 1. 2. A 3 A 3. B 3 B http://www.edu.c.utokyo.ac.jp/edu/information.html only my information 2 2006

More information

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編 720 x 400 37.9 85.0 VESA TEXT 640 x 480 31.5 59.9 VESA VGA (60Hz) 640 x 480 37.9 72.8 VESA VGA (72Hz) 640 x 480 37.5 75.0 VESA VGA (75Hz) 640 x 480 43.3 85.0 VESA VGA (85Hz) 800 x 600 35.2 56.3 VESA SVGA

More information

FAX780TA_chap-first.fm

FAX780TA_chap-first.fm FAX-780TA ABCDEFGHIα 01041115:10 :01 FAX-780CL α 1 1 2 3 1 2 f k b a FAX-780TA α n p q 09,. v m t w FAX-780TA A BC B C D E F G H I c i c s s i 0 9 i c i k o o o t c 0 9 - = C t C B t - = 1 2 3

More information

Express5800/110Ee (2002/01/22)

Express5800/110Ee (2002/01/22) (2002/01/22) 1. N8100-691 ( /1BG(256)) CPU L1 L2 CD-ROM LAN OS Pentium 1.0BGHz 1 32KB 256KB 128MB 1.5GB ( IDE 60GB 3( IDE 2)) ( SCSI 18.1GB 3) 14 40 100BASE-TX 10BASE-T 640 480 1280 1024(VRAM 8MB) 2. CD-ROM

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

Microsoft Word - マイコン実験 doc

Microsoft Word - マイコン実験 doc Ⅲ-2. マイクロコンピュータの実験 - 1 1. 目的 (1) 基本的なマイクロコンピュ-タの構成を学ぶとともに 各部の機能を理解する (2) マイクロコンピュ-タの機械語プログラムの理解を深める 2. マイクロコンピュ-タの動作図 1は 8ビットマイクロプロセッサ Z80 を用いたマイクロコンピュ-タシステムのブロック図である 以下に このマイクロコンピュ-タを例にとり 各部の動作を説明する 記憶部

More information

アセンブラ入門(CASL II) 第3版

アセンブラ入門(CASL II) 第3版 CASLDV i COMET II COMET II CASL II COMET II 1 1 44 (1969 ) COMETCASL 6 (1994 ) COMETCASL 13 (2001 ) COMETCASL COMET IICASL II COMET IICASL II CASL II 2001 1 3 3 L A TEX 2 CASL II COMET II 6 6 7 Windows(Windows

More information

devicemondai

devicemondai c 2019 i 3 (1) q V I T ε 0 k h c n p (2) T 300 K (3) A ii c 2019 i 1 1 2 13 3 30 4 53 5 78 6 89 7 101 8 112 9 116 A 131 B 132 c 2019 1 1 300 K 1.1 1.5 V 1.1 qv = 1.60 10 19 C 1.5 V = 2.4 10 19 J (1.1)

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

05秋案内.indd

05秋案内.indd 1 2 3 4 5 6 7 R01a U01a Q01a L01a M01b - M03b Y01a R02a U02a Q02a L02a M04b - M06b Y02a R03a U03a Q03a L03a M08a Y03a R04a U04a Q04a L04a M09a Y04a A01a L05b, L07b, R05a U05a Q05a M10a Y05b - Y07b L08b

More information

untitled

untitled 1050259 16 2 22 1 1 DC DC 2 20 TRIZ PIC PIC MPLAB IDE PIC16F84A PIC16F876 DC 3 20 20 PIC 4 16*32 24*72 ( 1-1) 5 ON,OFF 1-2 & 10ms 6 7 2-1 8 2 PWM Microchip Technology PIC 9 1 H PIC 10 PID 90g PWM P I PWM

More information