Folie 1

Size: px
Start display at page:

Download "Folie 1"

Transcription

1 Slide Ref -1 PCI Express の概要

2 PCI と PCI Express の比較 バス アーキテクチャ PCI Based PCI Express Based SDR SDRAM PCI DDR1/2 SDRAM x1, x4, x8 PCI Express CPU FSB Chipset Card Slots CPU FSB Chipset Card Slots AGP Video IDE Hard Drive x16 PCI Express Video Hard Drive SATA 1 つのバスを複数のデバイスで共有 パラレルデータを転送する完全同期バス 一度に 2 つのデバイス間でのみ通信 ( 一方向のみ ) デバイス間の接続は Peer to Peer シリアルデータにクロックを埋め込み送受信 双方向通信 ( 全二重 ) Slide Ref -2

3 PCI と PCI Express の比較 内部構造 PCI Express では階層構造を採用 PCI よりネットワーク機器に近いアーキテクチャ 階層構造のメリットは レイヤ単位でのアップグレードが容易なこと 仕様がバージョンアップしても 変更は各レイヤ内に限られる Slide Ref -3

4 PCI と PCI Express の比較 送受信プロトコル PCI ではペイロードを直接転送 コマンドタイプは C/BE 信号で指示 PCI Express では データをパケット化して転送 コマンドタイプはパケット内に埋め込み PCI PCI Express Slide Ref -4

5 PCI Expressの概要とPCIとの違い PCIからPCI Expressへの移行の必要性およびメリット 各レイヤの概要 通信品質を高めるためのPHYレイヤの機能 Lattice FPGAによるPCI Expressソリューション Slide Ref -5

6 現行の PCI 規格が抱える問題点 CPU およびシステムが要求するバンド幅の高速化に対応できないこれまではバス幅やクロック周波数の高速化で対応 しかし この方法ではこれ以上はデバイスおよびボード設計が困難になる Slide Ref -6

7 PCI/PCI-X のバンド幅確保の方法 PCI および PCI-X 規格ではバス幅を広げクロック周波数を高めることでバンド幅を上げてきた PCI-X266/533 ではデータフェイズを DDR/QDR にしてバンド幅を確保 PCI-X533 Burst Write Transaction Slide Ref -7

8 PCI/PCI-X のバンド幅を大きく出来ない理由 これまでの方法ではこれ以上のバンド幅を大きく出来ない 理由 1 クロックを高速にできない これ以上周波数が高くなると 十分な Setup/Hold-time を確保することが難しくなる 理由 2 バス幅を広げられない これ以上バス幅を広げると ボード上の配線が困難になる また各データ信号間のスキューが問題になり低価格で高い周波数を実現することが難しい Slide Ref -8

9 PCI Express での解決策 PCI Express では差動高速シリアル I/O を使用 小振幅のためデータレートの高速化が容易 チャネル当たり 2.5Gbps(Gen1) 全二重 (full Duplex) なので最大バンド幅はこの倍 これは PCI(64bit 66MHz) 相当 最大 32 チャネルまでをまとめて使用できる CDR(Clock Data Recovery) を用い クロックを伝送しないため Setup/Hold-time の制約が無い チャネル間のスキューは受信側で 20ns まで許容される スキューはロジックで吸収 同程度のバンド幅を得るための信号線が極端に少なくなるため 配線が容易 PCI(64bit 66MHz) : 64 本 ( データ線のみ ) PCI Express x1 : 4 本 このため 十分なバンド幅を確保でき かつボード上の配線も容易になる Slide Ref -9

10 もう一つの問題点 デバイスの耐圧低下 プロセスの微細化が進むと 3.3V 動作のための耐圧確保が難しくなる 例 : プロセッサの推奨動作電圧 Slide Ref -10

11 PCI から PCI Express への移行の障害 回路の複雑化およびゲート規模 / 設計工数の増大 Lattice の FPGA での実現例レジスタ数 LUT 数 PCI Target(64bit 66MHz) PCI Express x PHY レイヤの設計 / 製造 SERDES は機能は単純だが 高速動作の必要があるため安定動作させる ための設計は容易ではない Slide Ref -11

12 アジェンダ PCI Expressの概要とPCIとの違い PCIからPCI Expressへの移行の必要性およびメリット 各レイヤの概要 通信品質を高めるためのPHYレイヤの機能 Lattice FPGAによるPCI Expressソリューション Slide Ref -12

13 PCI Express アークテクチャ概要 階層構造を採用 PCI よりネットワーク機器に近いアーキテクチャ 階層構造のメリットは レイヤ単位でのアップグレードが容易なこと 仕様がバージョンアップしても 変更は各レイヤ内に限られる Slide Ref -13

14 PCI Express ソフトウエアレイヤ概要 既存のソフトウエアレイヤと同じアーキテクチャを採用 メモリ空間および I/O アドレス空間も既存の PCI のものに PCI Express 専用の空間を追加 このため 既存のソフトウエアがそのまま使用できる (PCI Express の新機能を使用しない場合 ) Slide Ref -14

15 PCI Express トランザクションレイヤ概要 トランザクションレイヤの主な機能 ソフトウエアレイヤからの要求に応じて TLP(Transaction Leyer Packet) を生成 データリンクレイヤに渡す ( 送信側 ) データリンクレイヤから受け取ったデータを解析し コマンドタイプに応じてデータをソフトウエアレイヤに渡す ( 受信側 ) コンフィグレーションレジスタの管理 バーチャル チャネルの管理 ソフトウエアレイヤ VC0 VC1 VC7 トランザクションレイヤ コンフィグレーションレジスタ ペイロード TLP Headder 内にコマンドタイプやアドレス等が格納される TLP データリンクレイヤ Slide Ref -15

16 PCI Express データリンクレイヤ概要 データリンクレイヤの主な機能 トランザクションレイヤから受け取ったパケットにシーケンスナンバーと LCRC を付けて PHY レイヤに渡す PHY レイヤから受け取ったパケットのシーケンスナンバーと LCRC の確認 フローコントロール 円滑に送受信するために 受信側のバッファの空き状況を確認 パケットの送受信確認 ACK/NAK およびリンク マネージメント用パケットの生成 ACK : シーケンスナンバーと共に送信し そのパケットまでを正常に受信できたことを示す NAK : シーケンスナンバーと共に送信し そのパケットが正常に受信できなかったことを示す PHY レイヤへ データリンクレイヤでのパケット生成 Slide Ref -16

17 PCI Express PHY レイヤ概要 PHY レイヤの主な機能 SERDES による高速データ伝送 -- 複数のレーン ( チャネル ) を最大 32 本まとめて 1 つのリンクを構成 -- リンクに含まれるレーン数により x1/x2/ /x32 リンクと表現する -- レーン数を増やすことで必要なバンド幅を容易に確保できる Logical サブブロックと Electorical サブブロックの 2 つから構成される Slide Ref -17

18 PHY レイヤ複数デバイスによるソリューション 外付け SERDES を使用する場合のため PHY ブロックを分割し複数デバイスで PHY レイヤを実現するための仕様 PIPE が定義されている Logical サブブロック PIPE PHY Interface For The PCI Express Architecture MAC Physical Coding Sublayer (PCS) PIPE Interface Electorical サブブロック Physical Media Attachment Layer (PMA) Tx Rx Channel Slide Ref -18

19 PCI Express カードスロット カードのレーン数とスロットのサポートするレーン数が一致する必要はない カードより多いレーン数をサポートするスロットにもインストール可能 スロットに何レーンのカードが刺さっているかは 起動時にデバイス間で自動的に認識 Slide Ref -19

20 アジェンダ PCI Expressの概要とPCIとの違い PCIからPCI Expressへの移行の必要性およびメリット 各レイヤの概要 通信品質を高めるためのPHYレイヤの機能 Lattice FPGAによるPCI Expressソリューション Slide Ref -20

21 PHY レイヤの機能 PHY レイヤは大きく 2 つに分類される Logical サブブロックの要求仕様 データのストライピング スクランブル / デスクランブル 8B10B エンコーディング / デコーディング クロックトレランス リンク イニシャライゼーション Electrical サブブロックの要求仕様 データとクロックリカバリ リファレンスクロック誤差 (±300ppm) 周波数拡散クロックサポート AC カップリング レシーバ検出 エレクトリカル アイドル検出 / 生成 ESD & ショート回路の保護 ビーコンの送信と検出 伝送損失 デ エンファシス アイダイアグラム ( 送信側 ) Slide Ref -21

22 ストライピング データリンクレイヤから受け取ったパケットを各レーンに分配 Byte7 Byte6 Byte5 Byte4 Byte3 Byte2 Byte1 Byte0 x4 ストライピング Byte8 Byte10 Byte11 Byte9 Byte4 Byte6 Byte7 Byte5 Byte0 Byte2 Byte3 Byte1 Lane0 Scrambler Lane1 Scrambler Lane2 Scrambler Lane3 Scrambler Slide Ref -22

23 スクランブル / デスクランブル 機能データの乱雑化 LFSR(Linear Feedback Shift Register) による擬似ランダムパターンと送信データの XOR 論理を出力する なお スクランブル対象は通常データのみで K キャラクタや特定のオーダーセット等 (SKIP/TS1/TS2 等 ) は対象外 PCI Express Base Spacification より抜粋 Slide Ref -23

24 スクランブル / デスクランブルの目的 なぜ必要か? EMI(Erectro Magnetic Interference : 電磁妨害 ) 対策 同じデータの繰り返しが続くと 特定の周波数ノイズが大きくなる 例 : パケットのペイロードが 0 もしくは 1 の連続だった場合 スクランブルしなくても 8B10B された 0/1 の混ざったパターンが出力される しかし 同じデータパターンの繰り返しなり結果として特定の周波数ノイズが増大する Slide Ref -24

25 8B10B エンコーディング / デコーディング 機能送信データで同じレベルが長く続かないように 8bit データを 10bit データに変換する 8B10B でエンコードされたデータは 6bit 以上同じレベルが連続しない エンコードされたデータには RD(Running Disparity)+/- がある 送信データに依存して 0/1 の数に大きな差が出ないようにこれを自動的に切り替え 0/1 の数のバランス (DC バランス ) を調整する 8B10B 変換例 Slide Ref -25

26 8B10B の必要性 なぜ必要か? 理由 1 SERDES の受信回路は 受信データのトグルからクロックを再生させる 従って 長い期間 0 または 1 が連続すると正確にクロックを再生できない 理由 2 データを適度にトグルさせることにより 送信データに依存する Jitter (Data Depentent Jitter) を小さくするため 理由 3 シリアル化したデータの何処から何処までが 1 ワード (10bit) なのかを識別するため 8B10B されたデータの中には特殊なコード (K キャラクタ ) が含まれており 受信回路はそれを目印にデータの境目を決める Slide Ref -26

27 クロックトレランス 機能送受信の両デバイス間でのシステムクロック周波数のズレを吸収するための機能 送信側デバイスは 1180~1538 シンボル (byte) に 1 度 必ず送信データ内に SKIP オーダーセットを挿入する 受信側デバイスは 受信したデータレートと自身の処理できるデータレートを考慮し この SKIP オーダーセットの伸張を行うことで 両デバイス間のデータレートのズレを ±300ppm まで吸収する SKIP オーダーセット COM SKP SKP SKP K28.5 K28.0 K28.0 K28.0 送信側は必ず 4byte Slide Ref -27

28 クロックトレランスの実現 受信側物理層 SERDES Rx クロックトレランス (FIFO) etc 受信データから再生したクロック ( 送信側のシステムクロック ) Packet1 SERDES 受信データ SKP SKP SKP COM 受信側システムクロック Packet0 SKIP オーダーセット : 送信側は必ず 4byte Packet1 クロックトレランス回路からの出力データ ( 受信側システムクロックが早い場合 ) SKP SKP SKP SKP COM Packet0 Packet1 クロックトレランス回路からの出力データ ( 受信側システムクロックが早い場合 ) SKP SKP COM Packet0 Slide Ref -28

29 リンク イニシャライゼーション 機能リセット解除後に Tx 側はトレーニングシーケンス オーダーセット (TS1/TS2) を送信する 受信側はこのトレーニングパターンで CDR を行う また 受信側はこのオーダーセットから情報を抽出し コンフィグレーションを行う リンクイニシャライゼーションでは以下の検出および対応処理がおこなわれる 差動極性 リンクのデータレート (Gen1 : 2.5Gbps, Gen2 : 5.0Gbps) リンクのレーン数 レーン番号 レーン間デスキュー Slide Ref -29

30 トレーニングシーケンス オーダーセット PCI Express 1.1 の TS 仕様 Slide Ref -30

31 リンク イニシャライゼーション中の処理 (1) データレート ネゴシエーション全てのデバイス (Gen1/2) で 初めは 2.5Gbps のトレーニングシーケンスを送信 Gen2 はネゴシエーションが終わってから 5.0Gbps に変更 差動極性の選択差動バッファの極性が反転していても それを自動的に検出し受信側で受信データを反転させる TS1 オーダーセットのシンボル 6~15 の値は常に固定 反転していた場合 8B10B デコードすると全く別の値になる (D10.2 ではなく D21.5 が検出される ) トランスミッタ レシーバ トランスミッタ レシーバ - + Normal Reverse Slide Ref -31

32 リンク イニシャライゼーション中の処理 (2) リンクおよびレーンス数検出 PCI Express ではカードスロットのレーン数とカードエッジのレーン数が一致しなくてもインストールできる このため 接続されているデバイス同士で接続情報を確認する必要がある レーン番号検出デバイスによっては接続するとレーンの並び順がトランスミッタ / レシーバで反転していることもある オーダーセットからレーン番号を抽出し 回路的にレーン番号を切り替える トランスミッタレシーバ Lane0 Lane3 Lane1 Lane2 Lane2 Lane1 Lane3 Lane0 x4 リンクの Lane Reverse Slide Ref -32

33 リンク イニシャライゼーション中の処理 (3) レーン間デ スキューレシーバ側ではレーン間でデータの受信にスキューが生じる イニシャライゼーション中にこのスキューを吸収する設定を行う COM(K28.5) キャラクタを基準にそろえる Lane0 Rx Lane1 Rx Lane2 Rx Lane3 Rx TS1/TS2 COM TS1/TS2 TS1/TS2 TS1/TS2 COM COM COM Delay Delay Delay Delay TS1/TS2 TS1/TS2 TS1/TS2 TS1/TS2 COM COM COM COM Slide Ref -33

34 リンク イニシャライゼーションの必要性 なぜ必要か? PCI Express ではボード上の配線や接続性の自由度を高めるための仕様となっている このため 接続されているデバイス同士で接続情報を確認する必要がある Slide Ref -34

35 クロック データリカバリ 回路例 : カードエッジから供給される 100MHz を PLL で 25 逓倍し 2.5GHz を生成 このクロックからさらに位相のことなる 8 つのクロックを生成し もっとも安定してデータをラッチできるクロックを選択 シリアルデータ (2.5Gbps) 位相選択回路 同期化されたシリアルデータ 選択された 2.5GHz クロック Phase Gen Phase0 clock Phase1 clock Phase7 clock リファレンスクロック (100MHz: カードエッジから供給 ) PLL 2.5GHz 1/8 サイクル Slide Ref -35

36 AC カップリング トランスミッタ側に 75~200nF のコンデンサを接続する これにより トランスミッタ / レシーバ双方の DC レベルの差を気にせず接続できる Slide Ref -36

37 レシーバ検出 トランスミッタ側にはトレーニングシーケンスを開始する前に レシーバが接続されているかどうか検出する 起動時のトランスミッタ コモン電圧の立ち上がり時間で検出 レシーバが接続されていれば AC カップリング用のコンデンサ Ctx を充電する必要があるので コモン電圧の立ち上がりが遅くなる レシーバが接続されていなければ AC カップリング用のコンデンサ Ctx を充電する必要がないので トランスミッタのコモン電圧が早く立ち上がる Slide Ref -37

38 デ エンファシス 機能 2bit 以上同じ極性のデータが連続する場合 2bit 目以降のデータの振幅を抑える (-3.5dB) ことにより 受信側でのジッタを小さくする Slide Ref -38

39 デ エンファシスの必要性 なぜ必要か? 伝送距離が長くなると 1UI 分のデータ送信では 受信側で十分にアイが開かなくなる そしてこれがジッタの一因となる デ エンファシス無し 1/1 1/0 0/1 0/0 送信波形 受信波形 デ エンファシス有り jitter 送信波形 受信波形 Slide Ref -39

40 アジェンダ PCI Expressの概要とPCIとの違い PCIからPCI Expressへの移行の必要性およびメリット 各レイヤの概要 通信品質を高めるためのPHYレイヤの機能 Lattice FPGAによるPCI Expressソリューション Slide Ref -40

41 LatticeECP2M のご紹介 低コスト LUT ベースの FPGA 19K~ 95K LUT4 144 ~ 601 ユーザー I/O 自由度の高い sysio TM バッファ LVCMOS 33/25/18/15/12, PCI SSTL3/2/18 HSTL15 HSTL18 Bus-LVDS, MLVDS, LVPECL & LVDS sysdsp TM 高性能演算回路 24 ~ 168 個の 18x18 乗算器 sysmem TM ブロックメモリ容量 1.2Mb ~ 5.3Mb sysclock TM PLL と DLL コンフィグレーション対応を充実 SPIフラッシュメモリのサポート デュアルブート機能をサポート 組み込み SERDES 最大 16 channels 270Mbps~3.4Gbpsまでをサポート Slide Ref -41

42 LatticeECP2M ファミリラインアップ 機能 ECP2M LUT 数 (K) x18 乗算器数 分散メモリ (Kbits) EBR メモリブロック数 EBR メモリ総サイズ (Kbits) PLL 数 / DLL 数 8/2 8/2 8/2 8/2 8/2 パッケージ SERDES/IO 256-ball fpbga (17x17mm) 484-ball fpbga (23x23mm) 4/144 4/301 4/301 4/ ball fpbga (27x27mm) 4/411 8/ ball fpbga (31x31mm) 8/457 16/449 16/ ball fpbga (35x35mm) 16/601 Slide Ref -42

43 LatticeECP2M SERDES の特徴 Jitter 特性 (PRBS で測定 ) Tx Jitter : 0.24UI 2.5 Gbps) Rx Jitter 耐性 : 0.8UI 2.5Gbps) 低消費電力 チャネル当り 90mW 2.5Gbps) 送信プリエンファシス機能 ( デエンファシスと等価 ) 4 段階設定 (0%, 16%, 32%, 48%) 受信イコライザ機能 3 段階設定 (short, medium, long) レシーバ検出機能内蔵 Slide Ref -43

44 内蔵 PCS での PCI Express PHY レイヤサポート ハードウエアでサポートされる機能 シリアライザ / デシリアライザ 極性選択 8B10B エンコード / デコード クロックトレランス (SKIP オーダーセットの処理 ) Slide Ref -44

45 Thank You! より詳細な情報などは下記を参照下さい 弊社ホームページ Slide Ref -45

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

Microsoft Word - 02_PCIe特集_ボード設計.doc

Microsoft Word - 02_PCIe特集_ボード設計.doc PCI Express ボード設計特集 - ボードを検討されている方必見!- 2006 年 11 月第 2 回 目次 PCI Express ボード設計特集 -ボードを設計されている方必見!-... 2 1 PALTEK PCI Express 評価ボード -PTKB -... 2 1.1 PALTEK PCI Express 評価ボードのコンセプト... 2 1.2 多くのメリット... 2 1.3

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

これさえ知っていれば迷わない -PCI Expressエンドポイント特集- 2006/10 Vol.1

これさえ知っていれば迷わない -PCI Expressエンドポイント特集- 2006/10 Vol.1 これさえ知っていれば迷わない -PCI Express エンドポイント特集 - 2006 年 10 月第 1 回 概要 PALTEK では PCI Express のブリッジ スイッチ エンドポイント 評価ボードなど PCI Express に関係する多くの商品を扱っておりますが ここでは FPGA でエンドポイント (Configuration Header Type00 を実装する I/O 階層の末端デバイス

More information

JAJP.indd

JAJP.indd Agilent Data Sheet www.agilent.co.jp/find/pcie 2 Gen 2 Ready TCL Windows DCOM Agilent E2960B N2X E2960A PCIe TM 2.0 GUI PCIe 2.0 E2960B API E2960AGen 1 API Gen 1 Gen2 PCI Express Gen 1 E2960B PCI Express

More information

Microsoft Word - 03_PCIe特集_PCIe実現方法.doc

Microsoft Word - 03_PCIe特集_PCIe実現方法.doc でもやっぱり難しそう そう感じる貴方の為の 簡単 PCI Express 実現方法 2006 年 12 月第 3 回 目次 でもやっぱり難しそう そう感じる貴方の為の簡単 PCI Express 実現方法... 2 1 PCI Express に時間もコストも掛けたくない! そんな方へ PCI Express Bridge がお勧め!... 2 2 PCI Express Bridge とは?...

More information

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc) DDR1/DDR2 ロジックアナライザ ソリューション構成ガイド Ver June/19/2006 機能と特徴 : Agilent 16900ロジックアナライザを使用して DDR1 および DDR2 システムのロジックロジック検証検証を行います 実際にシステムを組み上げた時に想定通りに動作しない場合 信号間のタイミングやコマンドの確認をします ロジックアナライザのEyeScan 機能を用いると信号品質を素早く把握することも出来ます

More information

Slide 1

Slide 1 CMOS イメージセンサ向けプローブカードに求められる 信号の高速化と低電源ノイズ要求に対する最近の取り組みについて Minoru Mikami, Electrical Design Engineer Formfactor Inc. SPG Group Agenda 1. Overview 2. CIS(CMOS Image Sensor) Probe Card History 3. MIPI D-PHY

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

5988_7780JA.qxd

5988_7780JA.qxd PCI Express PCI Express PCI /OS PCI Express 1. PCI Express 1000 PCI PCI-X CPU 10 GHz PCI Express PCI PCI Express PCI ExpressPCI/PCI-X33/133 MHz 2.5 Gbps PCI Express32 80 Gbps PCI Express Point-to- Point

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

増設メモリ (2006/11/20)

増設メモリ (2006/11/20) (2006/11/20) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

Microsoft PowerPoint - ADS2009_SI._Intro_U.ppt

Microsoft PowerPoint - ADS2009_SI._Intro_U.ppt Advanced Design System 2009 デジタルアプリケーション向け EDA ソリューションのご紹介 1 こんなことで お困りではないですか 利用していた IC が製造中止ピンコンパチ代替 IC を利用急に動かなくなった 部品調達コストの関係で DDR メモリから DDR2 メモリへ切り替え高速なメモリバス設計は初めて自信が持てない データ伝送 PHY を PCI Express に決定さて

More information

テクニカルガイド「増設メモリ」(2006/09/15)

テクニカルガイド「増設メモリ」(2006/09/15) (2006/09/15) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

JA.qxd

JA.qxd Application Note http://www.ddwg.org/ DVI World PC Cable Assembly Video/Graphics Card Display Projector 2 キーワード 高速パルス シグナル ル インテグリティ インピーダンス ス マッチング EMI 対策 伝送距離の制約 相互接続性 3 http://www.ddwg.org/ DVI Revision

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

本日の内容 の規格概要 測定の勘所 デバッグテクニックとトラブル事例 測定ソリューション Page 2

本日の内容 の規格概要 測定の勘所 デバッグテクニックとトラブル事例 測定ソリューション Page 2 Gen1/2 規格概要と測定 デバッグ勘所 2015 年 12 月 9 日 キーサイト テクノロジー合同会社アプリケーションエンジニアリング部門 本日の内容 の規格概要 測定の勘所 デバッグテクニックとトラブル事例 測定ソリューション Page 2 このセミナーでお伝えしたいこと Gbps 級の高速信号は世界が違います 規格書を読み 仕様を理解する シグナル インテグリティ設計が必須 デバッグに備え

More information

86100C license installation

86100C license installation 86100C オプション 400 簡易取り扱い説明 以下のオプションで 14 日間のお試しライセンスがご利用頂けるようになりましたこの資料はオプションの 400 簡易取り扱い説明書です 86100C-200 拡張ジッタ解析ソフトウェアご利用に際しては 86100C-001 拡張トリガ機能が必要です 86100C-201 アドバンスド波形解析ご利用に際しては 86100C-001 拡張トリガ機能が必要です

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Microsoft Word - dg_sata_ip_refdesign_host_jp.doc

Microsoft Word - dg_sata_ip_refdesign_host_jp.doc SATA-IP ホスト向けリファレンス デザイン説明書 Rev1.4 2009/06/05 このドキュメントは Xilinx 製 ML506/505 評価ボードで動作する SATA-IP ホスト向けのリファレンス デザインに関して説明したものです 1. SATA についてシリアル ATA (SATA) は従来のパラレル ATA(PATA) に替わる革新的なストレージ インターフェイスです また 最新の

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810 (2008/11/14) 増設メモリ 1. 機能 型名 N8102-303 N8102-304 N8102-305 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N8102-300 N8102-301 N8102-302 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400)

More information

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック (2009/10/28) 増設メモリ 1. 機能 型名 N8102-356 N8102-357 N8102-358 N8102-359 N8102-360 8GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック 533MHz( 差動 ) 1.5V 型名 N8102-351 N8102-352

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102 (2009/12/08) 増設メモリ 1. 機能 型名 N8102-339 N8102-340 N8102-341 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC3-10600) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデ

PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデ PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデータ収集インタフェース社 PCI-CompactPCI バスブリッジインタフェース PCIバスを持った

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint - JANOG19-u10-GigaPcap(NonAnim).ppt

Microsoft PowerPoint - JANOG19-u10-GigaPcap(NonAnim).ppt Non-Sampling Flow Inspection を支える技術 ユーテン ネットワークス株式会新籾晶 アジェンダ Non-Sampling Flow Inspection を支える技術 背景のおさらいトラヒック解析の問題点 (Non-Sampling 観点 ) 解決策ハードウェア作っちゃいました!! 本当に Non-Sampling は実現できたのか? 性能比較による検証 まとめ 1 背景

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3 (2010/01/22) 増設メモリ 1. 機能 型名 N8102-361 N8102-362 N8102-363 N8102-364 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC3-10600) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-365 N8102-366 N8102-367 (x1 枚 ) (x1 枚 )

More information

iCLR

iCLR RF24N1D-05-TK 無線モジュール簡易評価キット 取扱説明書 第 1.02 版 2014 年 05 月 01 日 株式会社 TOUA 1/10 目次 1. はじめに... 3 1.1. 無線モジュール簡易評価キットの概要... 3 1.2. 免責事項... 3 2. 無線モジュール簡易評価キットの構成... 3 3. 評価ボードの説明... 4 4. 事前準備... 5 5. 評価キットの実機動作...

More information

Keysight Technologies N1055A リモート・ヘッド・モジュール 35/50 GHz 2/4ポートTDR/TDT

Keysight Technologies N1055A リモート・ヘッド・モジュール 35/50 GHz 2/4ポートTDR/TDT Keysight Technologies N1055A 35/50 GHz 2/4 TDR/TDT 86100D DCA-X Data Sheet 10/25/28 Gbps 40G/100G /S TDR/TDT S 16 28 Gb/s IEEE 802.3 ba/bj/bm 40 Gb/100 Gb OIF Optical Inter-networking Forum CEI 3.0 PCI

More information

N 型番名称詳細対応可否留意事項 ( 制限事項 ) Express5800/50 シリーズ本体装置 Express5800/Y55Xa N Y N Y N Y N Y N Y N Y Express580

N 型番名称詳細対応可否留意事項 ( 制限事項 ) Express5800/50 シリーズ本体装置 Express5800/Y55Xa N Y N Y N Y N Y N Y N Y Express580 Express5800/50 シリーズ本体装置 Express5800/Y55Xa N80006505Y N80006504Y N80006503Y N80006405Y N80006404Y N80006403Y Express5800/55Xa Express5800/Y55Xa(W864/2.5) Express5800/Y55Xa(W764D/2.5) Express5800/Y55Xa(W732D/2.5)

More information

TMS320C6455 ハードウェア設計におけるSerial Rapid I/O PCBレイアウト実装

TMS320C6455 ハードウェア設計におけるSerial Rapid I/O PCBレイアウト実装 JAJA080 2 月 2007 TMS320C6455 ハードウェア設計における Serial Rapid I/O PCB レイアウトの実装 アプリケーション技術統括部 アブストラクトこの文書には TMS320C6455に搭載されているSerial Rapid I/O (SRIO) インターフェイス用の実装方法の説明が含まれています SRIOインターフェイスに対するタイミング規定と物理的に要求されるアプローチは

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

LANカード(PG-2871) 取扱説明書

LANカード(PG-2871) 取扱説明書 B7FY-2821-01 Z0-00 PG-2871 はじめに このたびは 弊社の LAN カード (PG-2871) をお買い上げいただき 誠にありがとうございます 本書は LAN カード ( 以降 本製品 ) の仕様について説明します LAN ドライバの詳細設定については 最新の LAN ドライバのマニュアルを参照してください 2010 年 8 月 目次 1 LANカードの仕様........................................

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

アプリケーション ノート 波形サンプル解析 機能 性能が向上するにつれ エンジニアは回路内のアナログ信号 デジタル信号の両方に注意を払う必要があります テストは複雑なため DUT(Device Under Test 被測定デバイス) のさまざまなテスト ポイントで信号を観測できる特殊なツールが必要に

アプリケーション ノート 波形サンプル解析 機能 性能が向上するにつれ エンジニアは回路内のアナログ信号 デジタル信号の両方に注意を払う必要があります テストは複雑なため DUT(Device Under Test 被測定デバイス) のさまざまなテスト ポイントで信号を観測できる特殊なツールが必要に アプリケーション ノート DDR や SoC など 高速デジタル回路の新しい検証とデバッグ手法 はじめに 最新の組込み / コンピューティング システムは 高速のバス 業界規格によるサブシステム さらにはチップに集積された機能などにより ますます高機能化しています 高機能化になっただけでなく システムはますます複雑になり 信号品質の影響も受けやすくなっているため トラブルシュートには時間がかかるようになっています

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

Microsoft Word - J_01_02.doc

Microsoft Word - J_01_02.doc 4. 使用機器 / 設定上のテクニック DVTS を使用して遠隔会議を行う方法について説明します (1) 基本システム構成 DVTSでの遠隔会議は 表 4-1に示すように たいへん単純な機器構成で行うことができます 表 4-1 基本構成における必要機器機器名称外観特徴 要件 デジタルビデオカメラ - IEEE1394 インターフェース (FireWire, i.linkという名称の端子でも可能 )

More information

untitled

untitled FPGA SATA AE/ AVNET, INC. : 1921 : 1955 / : 1960 NYSE - AVT ( Sector : Technology ) CEO: Roy Vallee ( : : : 11,000 : KPMG LLP : 6 30 Fortune 500 ( 2006 212 ) InformationWeek 500 ( 2004 3 ) Fortune Top50

More information

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx Dolphin Express による 10Gbps ソケット通信 Dolphin Express は 標準的な低価格のサーバを用いて 強力なクラスタリングシステムが構築できる ハードウェアとソフトウェアによる通信用アーキテクチャです 本資料では Dolphin Express 製品の概要と 実際にどの程度の性能が出るのか市販 PC での実験結果をご紹介します Dolphin Express 製品体系

More information

テクニカルガイド Fibre Channel接続機器

テクニカルガイド Fibre Channel接続機器 (2012/11/30) Fibre Channel 関連 1. 概要 Fibre Channel ディスクアレイ装置とサーバ間を高速なインタフェースで接続する Fibre Channel 関連製品 型名 製品名 備考 N8190-120 64bit/32bit PCI/PCI-X バス接続 2000 2003/R2 SP1/SP2 対応 Linux(*1) N8190-127 PCI EXPRESS(x4)

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt 40nm FPGA が実現する最先端メモリ インタフェース 日本アルテラ株式会社プロダクト マーケティング マネージャ橋詰英治 アルテラが提供する完全なソリューション CPLD 低コスト FPGA ミッド レンジ FPGA 高集積 高性能 多機能 FPGA ASIC エンベデッド プロセッサ IP コア製品群 開発ソフトウェア 開発キット 2 最新 40nm FPGA & ASIC 製品 11.3Gbps

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

Microsoft PowerPoint - FormsUpgrade_Tune.ppt

Microsoft PowerPoint - FormsUpgrade_Tune.ppt Forms アップグレードに関する追加作業 - 工数見積もり サイジング チューニング - 必要な追加作業 工数見積もり サイジング チューニング 2 1 C/S Web 工数見積もり 工数見積もりの際に考慮すべき事項 アップグレードによる一般的なコード修正 テスト工数 C/S では使用できるが Web では廃止された機能に対する対策 USER_EXIT を使って Windows 上 DLL のファンクションをコールしている

More information

テクニカルガイド 増設メモリ

テクニカルガイド 増設メモリ (2012/09/19) 1. 機能仕様 型番 製品名 備考 N8102-513 32GB ボード N8102-512 16GB ボード N8102-511 8GB ボード (1x8GB/R) N8102-510 4GB ボード (1x4GB/U) N8102-509 2GB ボード DDR3L-1600(PC3L-12800) SDRAM ECC 付 Unbufferred (1x2GB/U) N8102-508

More information

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8 (2011/06/17) 増設メモリ 1. 機能仕様 型番 製品名 備考 N8102-342 1GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-343 2GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-344 4GB 増設メモリボード DDR3-1333(PC3-10600)

More information

Quad port LANカード(10GBASE) 取扱説明書

Quad port LANカード(10GBASE) 取扱説明書 PRIMERGY Quad port LAN カード (10GBASE) (PY-LA3C4) PLAN EP X710-DA4 4x10Gb SFP 取扱説明書 はじめに このたびは Quad port LAN カード (10GBASE) をお買い上げいただき 誠にありがとうございます 本書は Quad port LAN カード (10GBASE)( 以降 本製品 ) の仕様について説明します LAN

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

内容 SDAIIIの概要 アイパターン解析 ジッタ解析 マルチレーン解析 ノイズ解析 バーチャル プローブ 3 4 高速転送 クロストーク SI 問題の顕在化 高速伝送とクロストークの増加による影響: 符号間干渉の増加 減衰の増加 ジッタの増加 設計の難易度の増加

内容 SDAIIIの概要 アイパターン解析 ジッタ解析 マルチレーン解析 ノイズ解析 バーチャル プローブ 3 4 高速転送 クロストーク SI 問題の顕在化 高速伝送とクロストークの増加による影響: 符号間干渉の増加 減衰の増加 ジッタの増加 設計の難易度の増加 シグナル インテグリティ の基礎と応用セミナー 4. LeCroyのシリアル解析 SDAIII-CompleteLinQのご紹介 テレダイン レクロイ ジャパン株式会社 技術部長 辻 嘉樹 内容 1. 2. 3. 4. 5. 6. SDAIIIの概要 アイパターン解析 ジッタ解析 マルチレーン解析 ノイズ解析 バーチャル プローブ 2 1 内容 1. 2. 3. 4. 5. 6. SDAIIIの概要

More information

Layout 1

Layout 1 Industrial communication センサーのデータにアクセスする ifm の IO-Link Digital connection technology for sensors とは? 今日のセンサーはシンプルな ON/OFF のセンサーから 大量のデータを処理するマイクロプロセッサーを搭載した高性能なデバイスまで進化してきました センサー内のデータにアクセスする IO-Link は以下の特徴があるインターフェースです

More information

MX705110A Wi-SUN プロトコルモニタ 製品紹介

MX705110A Wi-SUN プロトコルモニタ 製品紹介 Product Introduction MX705110A Wi-SUN プロトコルモニタ MS2690A/MS2691A/MS2692A/MS2830A シグナルアナライザ 製品紹介 MX705110A Wi-SUN プロトコルモニタ Version 1.0 2013 年 8 月アンリツ株式会社 Slide 1 Wi-SUN Protocol Monitor とは 本ソフトウェアは 2 つの通信モジュールがやり取りしている通信内容を解析して

More information

HD View Single Windowsセットアップガイド

HD View Single Windowsセットアップガイド Smart-telecaster HD View Single Windows セットアップガイド Smart-telecaster HD View single Rev2.0 Smart-telecaster HD View Single とは Smart-telecaster HD View Single( 以下 HD View Single) は Windows パソコンにインストールして使用するアプリケーションです

More information

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3 (2012/04/06) 増設メモリ 1. 機能仕様 型番製品名備考 N8102-435 8GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N8102-468 4GB 増設メモリボード DDR3L-1600(PC3L-12800) SDRAM ECC 付 Registered, 2GBx2

More information

(Microsoft Word - ZigBee\226\263\220\374\203\202\203W\203\205\201[\203\213\202\314\221g\215\236\202\335\202\306\203e\203X\203g_layout_shiba3-yw.docx)

(Microsoft Word - ZigBee\226\263\220\374\203\202\203W\203\205\201[\203\213\202\314\221g\215\236\202\335\202\306\203e\203X\203g_layout_shiba3-yw.docx) MDO4000 シリーズアプリケーション ノート はじめに組込みの ZigBee( またはその他の IEEE 802.15.4 ベースのプロトコル ) 無線ソリューションの設計では それを利用する最終製品への統合においていくつかのトレードオフがあります 問題は 最終アプリケーションの性能要求に対する 統合のレベルと開発コストのバランスをとることです ローコストの無線技術がさまざまな電気製品のアプリケーションで普及するにつれ

More information

Microsoft Word - SPARQアプリケーションノートGating_3.docx

Microsoft Word - SPARQアプリケーションノートGating_3.docx SPARQ を使用したフィクスチャの S パラメータ抽出 TECHNICAL BRIEF 伊藤渉 Feb 3, 2014 概要 SMA や K コネクタ等ではない非同軸タイプのコネクタを使用する DUT をオシロスコープで測定するにはコネクタの変換の為にフィクスチャを使用します このフィクスチャの伝送特性を差し引き DUT のみの特性を求めたい場合 フィクスチャの伝送特性を抽出することは通常では困難です

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

ルート プロセッサ

ルート プロセッサ CHAPTER 6 この章では Cisco CRS-1 キャリアルーティングシステムの Route Processor(RP; ) カードについて説明します 内容は次のとおりです の概要 アクティブおよびスタンバイの調停 RP カード To Fabric モジュールキューイング の概要 (RP) カードは シングルシャーシ Cisco CRS-1 キャリアルーティングシステムのシステムコントローラです

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

ルート プロセッサ

ルート プロセッサ CHAPTER 6 この章では Route Processor(RP; ) カードについて説明します 内容は次のとおりです RP の概要 プライマリおよびスタンバイの調停 RP カード To Fabric モジュールキューイング RP の概要 RP は Cisco CRS-1 4 スロットラインカードシャーシのシステムコントローラです ルート処理を実行し MSC( モジュラサービスカード ) にフォワーディングテーブルを配信します

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft Word - r0703.doc

Microsoft Word - r0703.doc 新開発のパケット暗号処理方式により 暗号通信を高速化世界最速の業界標準 (IPsec) 対応暗号通信 (VP) 装置を開発 ( 開発 o.0703) 007 年 月 5 日三菱電機株式会社 三菱電機株式会社 ( 執行役社長 : 下村節宏 ) は パケット 暗号通信の業界標準規格 IPsecv に準拠して あらゆるサイズのパケットを 0Gbit イーサネット 3 の設計上の最大転送速度 ( ワイヤスピード

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

インターネット,LAN,ネットワークに関する知識

インターネット,LAN,ネットワークに関する知識 第 9 回インターネット,LAN, ネットワークに関する知識 大学のキャンパス内にはネットワークが敷設されており, 教室, 図書館, 学生ラウンジなどで利用することができる LAN(Local Area Network) とは, 大学のネットワークなどのように, 比較的狭い範囲のネットワークを指す これに対して,LAN 同士を結んで, 遠隔地とデーターを交換することを目的としたネットワークを WAN(Wide

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Microsoft Word - Si Multi Digitalカード.docx

Microsoft Word - Si Multi Digitalカード.docx Si Multi Digital カード クイックスタートガイド Si Multi Digital カード 主な特徴 Si Multi Digital card は Soundcraft のデジタルミキサー Si Performer Si Expression 用のオプションカードで 背面の拡張スロットに装着して使用します FireWire(IEEE1394) 端子を備えた Mac PC または FireWire(IEEE1394

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

テクニカルガイド 増設メモリ

テクニカルガイド 増設メモリ (2012/07/26) 増設メモリ 1. 機能仕様 型番 製品名 備考 N8102-508 32GB 増設メモリボード DDR3L-1066(PC3L-8500) SDRAM ECC 付 Registered (1x32GB/R) N8102-507 16GB 増設メモリボード (1x16GB/R) N8102-506 8GB 増設メモリボード (1x8GB/R) N8102-505 4GB 増設メモリボード

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と FPGA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装 するには? 1 今までネットワークに関連するL1,L2,(L3) の世界とハードウェアの関係を見てきた 中身のよくわからない部分としてASICで構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

スライド 1

スライド 1 Nehalem 新マイクロアーキテクチャ スケーラブルシステムズ株式会社 はじめに 現在も続く x86 マイクロプロセッサマーケットでの競合において Intel と AMD という 2 つの会社は 常に新しい技術 製品を提供し マーケットでのシェアの獲得を目指しています この技術開発と製品開発では この 2 社はある時は 他社に対して優位な技術を開発し 製品面での優位性を示すことに成功してきましたが

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information