Sstrain [-] Sstrain [-] 2-3. 皮膚伸縮測定実験義手にグローブ装着し, 操作する際, 各所でグローブの伸びが異なることが予想される. そこで Fig. 4 左図のような一定の間隔で目盛を記した薄いビニール手袋を装着して開閉動作を行い, 各目盛間隔の増減を測定する. 動作は完

Size: px
Start display at page:

Download "Sstrain [-] Sstrain [-] 2-3. 皮膚伸縮測定実験義手にグローブ装着し, 操作する際, 各所でグローブの伸びが異なることが予想される. そこで Fig. 4 左図のような一定の間隔で目盛を記した薄いビニール手袋を装着して開閉動作を行い, 各目盛間隔の増減を測定する. 動作は完"

Transcription

1 11 3 次元造形ツールを活用した形状 運動機構設計 Feature and Motion Mechanism Design using Three Dimensional Digital Tool 学小田彰彦 ( 熊本大学 ) [ 指導教員 ] 正大渕慶史 ( 熊本大学 ) 正坂本英俊 ( 熊本大学 ) Akihiko ODA 1-46 Toroku, Kumamoto-shi, Kumamoto Yoshihumi OHBUCHI, Kumamoto University Hidetoshi SAKAMOTO, Kumamoto University Key Words: Disital tool, Feature design, Surface modeling, Product design 1. 緒言 CAD や CAM,CAE といったデジタルツールは製品設計の現場において, 近年益々重要視され, データ上での部品の干渉チェックや形状修正, 強度解析など, 製品の事前評価の充実による品質向上にも大きく貢献している. しかし, 設計に用いる場合にはデータの互換性の問題や, モデルの製作に相応の知識や経験, 技術が要求されるといった問題がある. 本研究では外観を重視した義手を対象とし, デジタルツールを用いて, 複雑な曲面を有した外装と内部の把持機構の 2 方向から設計を行う. このプロセスにおいて生じる課題と解決策を検討し,3 次元デジタイザツールの機能 意匠統合型設計への活用方法を提案する. またスキャンデータから NURBS 曲面の生成するために, リバースエンジニアリングシステム (Rapidform) を用いる. このモデリングソフトにより, デジタイザで取り込んだ外形座標データの不要部分を除去し, サーフェスを作成する. その後,NURBS 曲面の編集が容易に行えるサーフェスモデラ (Rhinoceros) を用いて, 外表皮内側にオフセットした新たなサーフェスを作成する. これらをソリッド系 CAD(SolidWorks) にインポートし,2 枚のサーフェス間を埋め 厚みを持ったグローブモデルを作成することで,Solid 系 CAD 上での内部機構との整合性検討が可能となる. 2. 外部設計 2-1. データ互換本研究におけるモデルの設計の流れを Fig.1 に示す. Fig.1 Design flow 外装と内部機構との整合性の検討は, 工業製品の設計に優れたソリッド系 CAD 上で行う. 初めに非接触 3 次元デジタイザ ( コニカミノルタ製 VIVID91) によって, 手から型取りした石膏模型の外形をスキャンし, ポリゴンメッシュモデルを作製する. しかし欠落や余分な部分が混在しているため, ポリゴンメッシュモデルをソリッド系 CAD にインポートすると不具合が生じてしまう. そこでそれらの編集 合成を行うために,Fig.2 に示すソフトウェアを使用した. Reverse Engineering(RE) System Editing scan data, Creating NURBS-surface Surface Modeler Editing and Mixing surface Solid CAD Thickening, Examining consistency Fig.2 Data exchenge Fig.3 Glove model 2-2. 材料選定義手に装着するグローブは, 人の手に近い外観が求められるほか 内部機構を保護する役割も持っている. 本研究では 外観 感触等を考慮してグローブの材料にシリコン樹脂を使用する.2 種類のシリコン樹脂, 混合する硬化剤量の組み合わせを変えて4パターン試作し, 引張試験を行うことで最適な配合条件を検討した. 医療用軟質シリコン:RTV-2 VP755( 肌色 ) 構造用硬質シリコン:ELASTOSIL M852( 半透明 ) 硬化剤:SLJ3253 引張試験では, カッターで成形した試験片を小型引張試験機で破断するまで引張り, その破断までの伸びと加えられた荷重を測定する. その結果から試験片の各配合の応力 -ひずみデータが求められる. さらに各試験片の断面積を測定して算出したヤング率を Table 1 に示す. この結果から の順に柔らかいことがわかる. Table 1 Measured Young's modulus Young's modulus of silicon E [kpa] mixed pattern average 1 soft silicon hardener 2% soft silicon hardener 4% 3 soft:hard=3:1 hardener 2% 4 soft:hard=2:1 hardener 2% ~3 is same specimen

2 Sstrain [-] Sstrain [-] 2-3. 皮膚伸縮測定実験義手にグローブ装着し, 操作する際, 各所でグローブの伸びが異なることが予想される. そこで Fig. 4 左図のような一定の間隔で目盛を記した薄いビニール手袋を装着して開閉動作を行い, 各目盛間隔の増減を測定する. 動作は完全伸展から完全屈曲, 再び完全伸展の一連の流れをデジタルカメラ (SONY Cyber-shot DSC-HX9V) で動画撮影し,Fig.4 中の 1 完全伸展 2 折れ,3 完全屈曲,4 折れ,5 完全伸展の 5 段階の画像を抽出する. DIP joint PIP joint MCP joint 内部設計内部の屈曲機構の設計は, 汎用性の高いソリッド系 CAD 上で行い, 一部意匠性の要求される自由曲面はサーフェスモデラで作製する. データ上で設計することで,NC 工作機械やマシニングセンタ等の CAM ツールに直接送ることができ, 効率的な試作品の加工が可能になる. 本研究では, 江藤らが作成した実用モデルデータ (1) を参考にして構造 機構を改良し, よりヒトのものに近づける. 特に考慮すべき点として, 以下の 3 つを挙げる. 1 親指の可動域 2 他の 4 本の指の屈曲機構 3 自由曲面を持つ手のひら形状指は 3 本のワイヤを Fig.6 のように組み込むことで各関節が連動して屈曲する機構とした. さらに 2 について, 機構の簡素化のために 4 指連動機構 (2) を採用した (Fig.7). これはプーリユニットの中心は固定されていないために, 物体と接触した指の動きが止まっても, ユニットが回転し, 他の指は物体を包み込むまで動き続ける. Fig.4 Measuring glove strain 各段階間での目盛間隔を画像上で測定し, 対応する動作での伸縮を比較する (Fig.5). また皮膚に直接目盛を記し, 同様の動作 処理を行ったものが Fig.5 中の破線である. 実験前は間節部のみがひずみが大きくなると予想したが, 薄手袋 素手共にその近傍でも大きくなっている. この実験から最大ひずみが生じる場所は,PIP または MCP 近傍だと特定した DIP PIP MCP Difference [mm] 1 2 Glove 1 2 Bare hand 4 5 Glove 4 5 Bare hand fingers Fig.6 Mechanism of flexion wire pulley servohorn slider running wire pulley unit servomotor DIP PIP MCP Difference [mm] Fig.5 Variation of strain 2 3 Glove 2 3 Bare hand 3 4 Glove 3 4 Bare hand 2-4. グローブの製作前節の実験結果から, 屈曲動作時のグローブ抵抗が算出できる. このグローブ抵抗を屈曲に要する駆動トルクの 25% 以下にするために, 混合条件 3 でグローブの変形に要するトルクを見積もった. Fig.7 Mechanism of running これに対し,1 は物体の把持に大きな影響を及ぼすため独立した屈曲動作を行い, 把持を補助する. また物体と手のひらとの接触面積が大きいほど摩擦による把持力が向上する. そのため 3 について, ヒトの手のひらのような隆起をシリコンによって再現することで把持力を向上させる. 4. 考察デジタイザで取り込んだヒトの手の外形データを 2 つのサーフェスモデラを用いることで,Solid 系 CAD 上で編集可能なグローブモデルを作成した. これにより同じツール上で, 内部機構との整合性検討が可能となり, 製作時間の短縮, 試作モデル製作数の減少といった当初の目的を達成できた. 参考文献 (1) 江藤利宏 平成 22 年度卒業論文 3 次元造形ツールを活用した形状設計と評価 (2) 川崎賢一郎他 神戸大学工学部情報知能工学科, 柔軟性の高い筋電義手グローブの試作 電子情報通信学会信学技報,pp19-115

3 111 オートバランサを用いた長い突き出し量を有するエンドミルの切削特性 Cutting performance of long tool length end mills using auto balancer 学冨田知弘 ( 九工大 ) 正是澤宏之 ( 九工大 ) 正楢原弘之 ( 九工大 ) 正鈴木裕 ( 九工大 ) Tomohiro TOMITA, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Key Words: auto balancer, high damping materials, end mills, chatter vibration 1. 諸論自動車や家電製品などの製造には, 高精度な製品を大量かつ安価に製造できる金型が多く用いられている. 近年, 金型加工業界では, 中国や韓国などの海外勢の台頭, モデルチェンジの早期化, 製品の複雑形状化により, さらなる短納期 高品位 コスト低減が求められている. これらの要求を満たすため, 金型製作工程で重要な位置を占めているのが切削加工である. 切削加工は, 放電加工や研削加工といった他の除去加工と比較して材料除去能率が最も高く, 工具長さや工具形状を変化させることで, 様々な形状を加工可能なため, 自動化に適した加工法であるといえる. しかし, 立壁形状といった Z 軸方向に深い形状の加工において, 長い突き出し量を有する工具を用いた場合には, 工具自体の剛性が低下することによりびびり振動による工具摩耗の早期進行や加工精度の低下などの問題が発生する. このため, 従来の Z 軸方向に深い形状の加工においては, 切削条件を低下させることでびびり振動を抑制する方法, もしくは放電加工を用いる方法がとられている. いずれの場合も加工能率低下が課題となる. そこで, 長い突き出しを有するエンドミル工具により,Z 軸方向に深い形状の切削加工が可能となれば, さらなる短納期 コスト低減を実現できるものと考える. 本研究では, マシニングセンタの主軸部にオートバランサを装着し, 長い突き出し量を有するエンドミル工具において, 良好な切削加工を行うことを目的としている. 本報では, モジュラーヘッド交換式工具を用い, 同一形状の超硬合金製モジュラーヘッド, 制振合金製モジュラーヘッドを使用し, オートバランサの有無による表面粗さの比較を切削速度変動実験により行ったので報告する. 2. 工具特徴 2.1 キュットの特徴オートバランサであるキュット ( 赤澤機械 製 ) 1) は, マシニングセンタの主軸部に装着することで, 加工負荷に対して刃先の振れを抑制する機能をもつ. 芯振れを限りなくゼロに近付け, 理想状態の回転に近付けることで, 加工精度の向上, 工具寿命の延伸が可能となる. 2.2 制振合金の特徴制振合金 (M252) 2) は, 材料そのものが振動を吸収する合金である. 特性として, 軟鋼程度の強度を持ち, 低周波数.1Hz から高周波数 5MHz の広域にわたって対数減衰率.3~.7 が得られる. 特に一般合金では吸収しにくい低周波帯でも高い制振性を持つ. 3. 実験方法 3.1 切削工具形状 モジュラーヘッド交換式工具のオートバランサ装着時の切削工具全景を Fig.1(a) に, 刃先およびシャンク部の分解図を Fig.1(b) に示す. 工具径は D=25mm, 突き出し量 L=25mm, 325mm の L/D=1,13 の二種類を用いた. シャンク部, モジュラーヘッド部は, ともに超硬合金を使用し, オートバランサの有無による表面粗さの比較を切削速度変動実験により行った. さらに,L/D=1 においては, 同一形状の制振合金製モジュラーヘッドに交換し, オートバランサの有無による表面粗さの比較を切削速度変動実験により行った. スローアウェイチップには, ADET1643SR:HC844( 日立ツール製 ) を使用した. 3.2 実験装置および切削条件 被削材であるプリハードン鋼 ( 以下,NAK8) に対し, ダウンカットにて側面切削を行った. 工作機械にはマシニングセンタ V56i( 牧野フライス製作所製 ) を使用した. 長い突き出し量を有する工具において, オートバランサの有効性を確認するために切削速度変動実験を行った. 切削条件を Table1 に工具条件を Table2 示す. 3.3 評価方法切削後の評価として, 加工面の表面粗さ ( 最大高さ :Rz) をサーフコム 11B( 東京精密製 ) にて測定した. また, 切削中の振動解析を FFT アナライザ CF34( 小野測器製 ) にて測定した. (a) Appearance Auto Balancer 25mm 5mm Fig.1 Cutting Tools Modular Head (b) Exploded View

4 Vibration Displacement[μm] Surface Roughness Rz[μm] Sutface Roughness Rz[μm] Surface Roughness Rz[μm] L/D Axial Depth of Cut [mm] Radial Depth of Cut [mm] Feed Rate [mm/tooth].8 Cutting Speed [m/min] Spindle Speed [min -1 ] Feed [mm/min] Modular Head Holder Condition1 Cemented Carbide Normal Condition2 Cemented Carbide Auto Balancer Condition3 Damping Alloy Normal Condition4 Damping Alloy Auto Balancer 超硬合金製モジュラーヘッドを用いた切削速度変動実験における L/D=1 の表面粗さを Fig.2 に示す.L/D=1 のオートバランサの有無による表面粗さを比較すると, オートバランサを装着した方が表面粗さは, 同等または向上したことが確認された. しかし,Condition2 の切削速度 5m/min の条件において表面粗さの悪化が顕著に見られた. そこで, 切削中の振動解析を行い, 振動変位を算出した. その結果を Fig.3 に示す.132.5Hz,265Hz において特徴的な振動変位が観測された. この振動変位は, 切削速度 5m/min の条件における主軸回転の周波数 132.6Hz の n 倍に相当することから, 強制振動であると考えられる. また,132.6Hz の n 倍とノイズ以外に特徴的な振動変位が観測されなかったことから, 強制振動が原因となった強制びびり振動により加工面が悪化したと考えられる. L/D=13 の表面粗さを Fig.4 に示す. オートバランサの有無による表面粗さを比較すると, 全ての条件において, オートバランサを装着した方が良好であった. また,Condition2 の切削速度 4m/min の条件において, 最大高さ 2.5μm の良好な加工面を得た. これは, 加工負荷に対して刃先の振れを抑制するというオートバランサの特徴により, 良好な加工面が得られたと考えられる Noise 1 Table1 Cutting Conditions Table2 Tool Conditions 4. 実験結果および考察 4.1 超硬合金製モジュラーヘッドを用いた実験 Condition1 Condition Cutting Speed[m/min] Fig.2 L/D=1 Cutting Surface 132.5Hz Noise 265Hz Frequency[Hz] Fig.3 Power Spectrum Condition1 Condition Cutting Speed[m/min] Fig.4 L/D=13 Cutting Surface 4.2 制振合金製モジュラーヘッドを用いた実験制振合金製モジュラーヘッドを用いた切削速度変動実験における L/D=1 の表面粗さを Fig.5 に示す. オートバランサの有無による表面粗さを比較すると, 全ての条件において, オートバランサを装着した方が良好であった. また, Condition4 の切削速度 4m/min の条件において, 最大高さ 1.5μm の良好な加工面を得た. これは, 制振合金製モジュラーヘッドの適用により切削時の衝撃力を緩和したこと, オートバランサの適用により加工負荷に対して刃先の振れが抑制されたことで, 良好な加工面が得られたと考えられる. Condition3 Condition Cutting Speed[m/min] Fig.5 L/D=1 Cutting Surface 5. 結論 本報では, 長い突き出し量を有するエンドミル工具に, 同一形状の超硬合金製モジュラーヘッド, 制振合金製モジュラーヘッドの二種類を使用し, オートバランサの有無による表面粗さの比較を切削速度変動実験により行い, 以下の結論を得た. 1) L/D=1 における Condition2 の 5m/min を除いた全ての条件において, オートバランサの有効性を確認した. 2) L/D=13 の全ての条件において, オートバランサの有効性が確認された. 3) L/D=1 における Condition4 の 4m/min の条件において, 最大高さ 1.5μm の良好な加工面を得た. 以上の結果より, 長い突き出し量を有する工具において, オートバランサを用いることは, 切削時の振動抑制に有効であるという指針を得た. 参考文献 1) 赤澤機械株式会社 : キュット WEB SITE 2) 株式会社セイシンエンジニアリング : 制振合金各分野における実用例, 技術資料 謝辞 本研究を行うにあたり, 西日本工業大学吉丸将史講師に 御指導いただきました. 厚く御礼申し上げます.

5 3 112 ホブ切りにおける仕上げ面粗さに及ぼす切りくず形状の影響 ( ドライ切削での舞いツール基礎実験 ) Influence of Shape of Chip on Finished Surface Roughness in Hobbing (Fundamental Experiments Using Fly Tools in Dry Cutting) 学小寺創 ( 大分大 ) 正松岡寛憲 ( 大分大 ) 正劉孝宏 ( 大分大 ) Tsukuru KODERA, Oita University, 7, Dannoharu, Oita-shi, Oita Hironori MATSUOKA, Oita University Takahiro RYU, Oita University Key Words : Cutting, Gear, Hobbing, Dry, Length of chip, Thickness of chip, Finished surface roughness 1. 緒言 ホブ切りは平フライス削り形断続切削であり, その切削機構は複雑である. また, ホブの数刃が切削に関与して歯溝部を削り取るが, 各刃の切れ刃部で削り出される切りくず形状, すなわち切りくず長さおよび厚さが異なっている. そのため, 仕上げ面粗さは切りくず形状によって大きく影響されると考えられるが, 切りくず形状が仕上げ面粗さに及ぼす影響についてはあまり調べられていない. 本研究では, ドライホブ切りにおいて, 切りくず形状の違いが仕上げ面粗さに及ぼす影響について調べた. 2. 実験方法および実験条件 実験方法としては, ホブ切りをフライス盤での舞いツール切削に置き換えて実験を行った. すなわち, ホブの 1 刃と全く同じ形の舞いツールを用い, フライス盤上で, 切込みおよびテーブル送りを変えることにより切りくず長さおよび厚さを変えて実験を行った. 本実験では, 切りくずの長さおよび厚さは, ホブの外周切れ刃の中央部で削り出されるものとして解析した. 図 1 はフライス盤での舞いツール切削の様子を示したものである. フライス盤において適当な切込み t とテーブル送り f を与えると, ホブの 1 刃が削り出す切りくずと同じ長さおよび厚さの切りくずにシミュレートすることができる. すなわち, 刃先の回転半径を r とすれば, 切込み t は,t=r(1 -cosθ) で表され, この式より θ を求め, 切りくず長さ L は,L=rθ により求めることができる. また, 切りくず厚さ S は,S=f sinθ より求めることができる. 本実験では, 刃先の回転半径を r=85 mm として, 切込みを.25 mm から 4. mm まで.25 mm ずつ変え, またテーブル送りを.25 mm/rev から 1.25 mm/rev まで.5 mm/rev ずつ変えた. 舞いツール切削はアップカットにより行った. ホブ材質は高速度鋼工具 SKH55 相当で,TiN および (Al,Ti)N コーティング施した [TiN 工具および (Al,Ti)N 工具と略称する ]. それぞれのコーティング膜の厚さは約 5μm である. 被削材はクロムモリブデン鋼 SCM415(HB143) で, その寸法は長さ 1 幅 1 厚さ 1 mm で, 舞いツール刃先の摩耗のない状態で溝長さ 1 mm を切削し, 仕上げ面の状態を調べた. 仕上げ面粗さは, 舞いツールの中央部が削り出す切りくず形状に対応するため, 切削溝の底を切削方向に測定した. 切削速度は 117 m/min である. また使用したフライス盤は日立精機製 2MF 形 ( 万能形 ) である. 3. 実験結果 3.1 切込みおよび送りの影響図 2 に,TiN 工具を用いて, 切込みおよびテーブル送り ( 以下, 送りと略称 ) を変えた場合の仕上げ面粗さの良否を示す. 図中の 印は, ほぼ送り量と同じ間隔で, 回転マークがはっきり確認でき, 粗さ Rz が 3 μm 未満の良好な場合である. 一方, 印は, 粗さ曲線に乱れがあり, 粗さが 3 μm 以上の不良な場合である. 良好な仕上げ面粗さは, 切込みが大きいと送りが小さい場合に得られており, また切込みが小さくなると送りが大きい場合に得られている. したがって, 良好な仕上げ面粗さが多く得られる領域は, 切込みが小さくなるにつれて (3.75 mm から 1.25 mm まで ), また送りが大きくなるにつれて (.25 mm/rev から 1.15 mm/rev まで ) 現れる傾向がある. 図中の 印および 印は, 仕上げ面粗さにびびり現象が生じた場合で,t=1.25 mm~3.75 mm, f =.55 mm/rev~.7 mm/rev の範囲で起こっている. 印の粗さが良好な場 Depth of cut t mm t Fig.1 Fly tool cutting Chip Feed of table f mm/rev Fig.2 Influence of depth of cut and feed of table on surface roughness (TiN-coated tool, at a beginning of cut) ( 社 ) 日本機械学会九州学生会第 43 回卒業研究発表講演会 (No.128-2) 論文集 212/3/12

6 Fig.3 Work Work.7 mm 5 μm 2 μm (Rz = 2.2 μm) t = 1.75 mm, f =.7 mm/rev 1 mm 1 mm Profiles of the surface roughness with chatter vibration (TiN-coated tool, in the case of good surface roughness) Thickness of chip S mm Fig Length of chip L mm Influence of length of chip and thickness of chip on surface roughness (TiN-coated tool, at a beginning of cut) Depth of cut t mm Feed of table f mm/rev Fig.4 Influence of depth of cut and feed of table on surface roughness [(Al,Ti)N-coated tool, at a beginning of cut] 合は切込みが小さい場合に, 印の粗さが不良な場合は切込みが大きい場合に発生している. 図 3 は, 粗さが良好な t=1.75 mm および f =.7 mm/rev の場合の仕上げ面粗さ曲線を示す. 上下の粗さ曲線は, 同じ位置を測定したもので縦倍率を変えた場合である. f =.7 mm/rev とほぼ同じ間隔に回転マークが確認できるが, その 1 回転中に,4 回振動 ( 波長.175 mm) していることがわかる. 図 4 は,(Al,Ti)N 工具を用いた場合の仕上げ面粗さの良否を示す.TiN 工具と同様に, 良好な仕上げ面粗さの領域は, 切込みが小さくなるにつれて (4. mm から 1.25 mm まで ), また送りが大きくなるにつれて (.25 mm/rev から 1.2 mm/rev まで ) 現れる傾向があるが,TiN 工具と比べて, 良好な仕上げ面粗さの領域は広くなっている. びびり現象が起こっているのは,t=2. mm および f =.45 mm/rev の場合だけであり,TiN 工具の場合と比べて, 送りが小さく, またびびり現象の発生は少ない. 3.2 切りくず長さおよび厚さの影響図 5 は,TiN 工具を用いて, 切りくず長さ ( 以下, 長さと略称 ) および切りくず厚さ ( 以下, 厚さと略称 ) を変えた場合の仕上げ面粗さの良否を示す. 良好な仕上げ面粗さは, 長さが長くなるにつれて, また厚さが厚くなるにつれて得られる傾向がある. また良好な粗さの領域は,L=15 mm~2 mm 程度までは,S=.1 mm~.25 mm 程度の範囲にあるが,L=2 mm 以上では, 長さが長くなるにつれてその領域は減少している.L=15 mm より短い場合では, 厚さを変えても, 不良な粗さが得られる傾向がある. また, びびり現象が起き, 粗さが良好な場合 ( 印 ) は,L=14.6 Thickness of chip S mm Length of chip L mm Fig.6 Influence of length of chip and thickness of chip on surface roughness [(Al,Ti)N-coated tool, at a beginning of cut] mm~17.3 mm で,S=.9 mm~.16 mm の範囲にあり, その範囲で長さが長くなるにつれて, また厚さが厚くなるにつれて, びびり現象が起こっている. びびり現象が起き, 粗さが不良な場合 ( 印 ) は,S=.16 mm 程度で,L=2.7 mm~25.3 mm の範囲にあり, 長さが長い場合に, 粗さは不良である. 図 6 は,(Al,Ti)N 工具の場合を示す. 良好な仕上げ面粗さは, 長さが長くなるにつれて, 厚さが厚くなるにつれて得られる傾向があるが,TiN 工具の場合と比べて, 良好な粗さの領域が広い. その領域は,L=14.5 mm~26 mm 程度まで,S=.8 mm~.31 mm 程度の範囲にある. また, びびり現象が起き, 粗さが良好な場合 ( 印 ) は,L=18.5 mm で,S=.1 mm である. 4. 結言 (1) 良好な仕上げ面粗さの得られる領域は,TiN コーティン工具の場合, 切りくず長さが 16 mm~25 mm 程度, 切りくず厚さが.1 mm~.25 mm 程度の範囲にある.(Al,Ti)N コーティング工具の場合は, 切りくず長さが長くなるにつれて, 切りくず厚さが厚くなるにつれて得られる傾向があるが,TiN 工具の場合と比べて, 良好な粗さの領域が広い. その領域は, 切りくず長さが 14.5 mm~26 mm 程度まで, 厚さが.8 mm~.31 mm 程度の範囲にある. (3) びびり振動が TiN コーティング工具を用いた場合に多く発生しており, 切込みが 1.25 mm から 3.75 mm で, テーブル送りが.55 mm/rev から.7 mm/rev の範囲で, 切りくず長さは 14.6 mm~25.5 mm 程度で, 切りくず厚さは.1 mm~.16 mm 程度の範囲にある.

7 113 PCD ドリルによる SiC への穴加工特性 Micro drilling into SiC by micro PCD drill 正大西修正土肥俊郎正黒河周平 学藤原宏彰 ( 九州大 ) 非会員高橋和男 ( 三井造船株式会社 ) 非会員藤田房雄 ( 株式会社アドマップ ) Osamu OHNISHI, Toshiro DOI, Syuhei KUROKAWA and Hiroaki FUJIWARA, Kyushu University, motooka 744, nishi-ku, Fukuoka Kazuo TAKAHASHI, Mitsui Engineering & Shipbuilding Co., Ltd. Fusao FUJITA, ADMAP INC. Key Words : SiC, drilling, micro drill, PCD, ultrasonic vibration, drilling performance 1. 緒言 近年の製品の小型化, 高性能化に伴い, 多くの機器 部品に対して高精度 高能率に小径の穴加工を行う必要性が増している. また, その対象となる穴も年々小径化している. 現在, マイクロ穴加工の手法としては様々なものが挙げられるが, 比較的高能率 高精度 低コストで加工できる方法としてドリル加工は依然として有力な手法の 1 つである. 一方, 加工する材料について見ると, セラミックスやガラスといった脆性材料に対しても高精度 高能率なマイクロ穴加工の要求が高まってきているが, 脆性材料のドリル加工は一般的に困難なものである. しかしながら, 脆性材料へのドリル加工において軸方向に超音波振動を援用することにより, 加工穴入口の欠けや加工穴壁面の表面性状に良い影響を与える効果があることが報告されており 1),2), ドリル加工による高精度 高能率加工の実現が期待される. 本研究は, 焼結ダイヤモンド (Poly Crystalline Diamond : PCD) を刃先材料にしたマイクロドリルを用いて SiC に対して, 高品位 高能率なマイクロ穴加工を行うことを目的としており, 高品位 高能率な加工を実現するための方策として超音波振動を援用する. 本報では, 工具の寿命試験や加工穴入口および加工穴壁の観察を行い, マイクロ PCD ドリルを用いた SiC の穴加工における加工特性を明らかにした. 2. 実験装置および実験方法 穴加工で用いたマイクロドリルの諸元を表 1 に示す. ま た, 穴加工の条件を表 2 に示す. 穴加工には立形マシンニ グセンタ ( 牧野フライス精機社製 MSA4-) を用い,SiC を被削材としてマイクロ PCD ドリルにより穴加工実験を 行った. ワークホルダは超音波振動装置上に固定されてお り, 超音波振動を付加 / 無付加の状態で穴加工をすることが できる. 壁面の表面粗さの測定には非接触形状 表面粗さ測定機 ( ザイゴ株式会社製 New View 2) を使用した. また, 工具, 切り屑の観察には走査型電子顕微鏡 ( 株式会社キー エンス製 VE88) を使用した. 3.1 ドリル寿命 3. 実験結果 図 2 に工具の寿命試験の結果を示す. ここでは工具の寿 命をドリルが折損するまでの加工穴数とする. 回転数の増 Fig.1 Fabricated micro PCD drill Table 1 Specifications of PCD drill Material of drill PCD (HV 9) Shape of drill Diameter Web thickness Point angle Rake angle 2μm Chisel edge angle Flat drill 3 μm 15 μm 118 deg. 16 deg. 115 deg. 2μm Table 2 Experimental condition Tool PCD drill Workpiece SiC (HV 425) Drilling condition Rotational speed 1, 1,4,8min -1 Feed Drilling depth Fluid Ultrasonic vibration.1,.1, 1.,1.5 μm/rev 3μm Water-based coolant Without/With(6kHz,.4μm) Fig.2 Tool life 5μm

8 加に伴って工具の寿命が減少する傾向が得られた. 寿命の減少については, 回転がドリルの振れなどに影響を与えているためと思われる. 一方, 送りが大きくなると寿命が減少する. 超音波振動付加の有無に関しては明らかな傾向は見られなかった. 3.2 送りと表面あらさの関係図 3 に各回転数における表面粗さと送りの関係を示す. データにばらつきは見られるが, 全体的に送りが大きくなれば表面粗さも大きくなる傾向が得られた. 送りが大きくなると工具にかかる力も大きくなるため 工具が振れたため壁面を荒らしたためであると思われる. Fig.3 surfice roughness 1μm 3.3 切れ刃からの切り屑の観察図 4 に切れ刃から出た切りくずの SEM 画像を示す. 送りが.1μm の場合はそれほど大きな差は見られないが送 りが 1.μm の場合, 超音波振動をかけた方の切り屑はかけ ていないものに比べ, 切り屑の大きさが全体的に小さいも のが多くなっている. この切り屑の大きさの違いは排出性 などに影響を与えていると思われる. With USV Without USV (a)feed:.1μm/rev 3.4 工具の摩耗の観察図 5 に工具の摩耗の様子を示す. 工具の摩耗の様子を見ると, チゼル部周辺も摩耗が最も大きい. このため, 工具にシンニングなどを施すことにより工具の寿命の改善が望めるのではないかと思われる. また, 加工穴数が多くなると付着物も見られる. これもドリルの寿命に影響を与えていると思われる. With USV Without USV (b)feed:1.μm/rev Fig.4 Chips made by Cutting edge 5μm 4. 結言本研究では, マイクロ PCD ドリルを用いて SiC に対して寿命試験, および加工穴壁, 切り屑, 工具の摩耗の観察を行った. 寿命試験では回転数また送り工具寿命は減少することがわかった. 加工穴の壁面の観察では送りの増加が加工穴壁面の表面粗さを増加させる傾向が得られた. 切れ刃からの切り屑の観察では, 送りの大きな加工条件において超音波振動の付加が切り屑の大きさを減少させる効果があることがわかった. 工具の摩耗の観察では, 特にチゼル周辺部での摩耗が特に大きいことがわかった. Before use 2 th hole 文献 1) 鳥越ほか : マイクロ PCD ドリルを用いた石英ガラスの穴加工における加工特性,28 年度精密工学会九州支部福岡地方講演会,(28)pp ) 形状の効果,29 年度精密工学会九州支部佐賀地方講演会, (29)p.134 3) 江口ほか :PCD ドリルを用いた SiC へのマイクロ穴加工, 第 43 回学生員卒業研究発表講演会 (21) 謝辞 ドリルを提供していただいた, 大分県産業科学技術センター水 江主幹研究員, 大分県産業創造機構城門由人研究員にあわせてお 礼申し上げます. 4 th hole 6 th hole 8 th hole 1 th hole Rotational speed:1min -1 Feed:.1μm,Without USV Fig.5 Tool wear

9 114 画像処理を用いた CMP パッド上におけるスラリー流れの定量的解析 Quantitive analysis of slurry flow on CMP pad using digital image processing 麻生康徳 ( 九大 ), 土肥俊郎 ( 九大 ), 畝田道雄 ( 金沢工大 ), 黒河周平 ( 九大 ), 大西修 ( 九大 ), 山崎努 ( 九大 ), 瀬下清 ( 九大 ) Yasunori Aso, Kyushu University, Mottoka, Fukuoka nishi-ku, Fukuoka Toshiro Doi, Kyushu University, Michio Uneda, Kanazawa Institute of Technology Syuhei Kurokawa, Kyushu University, Tsutomu Yamazaki, Kyushu,University, Kiyoshi Seshimo Kyushu University Key Words : CMP, groove, slurry flow, removal rate 1. 緒言 CMP(Chemical Mechanical Polishing) を行う際, その加工方法の特性上, パッドに供給されたスラリーの大半は研磨に関与することなく無駄に流出している. そして, 廃スラリーには費用が掛かる上, ガラス研磨用の有効な砥粒の一つであるセリウム (CeO 2 ) の供給事情の変化により調達コストは上昇している. よって, コスト削減 事業の継続のためにもスラリーの使用量を減らすことが求められている. 本研究はパッドに掘られる溝でスラリーの流れ ( 以下, スラリーフロー ) をコントロールすることで少ないスラリー量でも高効率な CMP を実現する最適なパッド溝形状の開発を最終目標とするものである. 本報告では画像解析によって評価したパッド上のスラリーフローと, 研磨レート, 加工精度の 3 つに関して溝形状が与える効果を検証した結果について述べる. 2. 評価を行った溝形状回転平面上におかれた質点は遠心力を受け, 平面上にインボリュート曲線を描くように運動することが知られる. 本研究ではスラリー ( 除去物 ) の積極的排出を目的としたインボリュート曲線を転写した溝を持つパッド ( 以下, 排出型 ) と, その形状を反転させた溝を持つパッド ( 以下, 流入型 ) の 2 種類のパッドを試作した. 排出型は除去物の積極的な排出による加工精度の向上を, 流入型はスラリー流失を妨げることで少ないスラリー量でも有効な CMP を行うことが目的である. なお CMP パッド上においてはパッド表面に作用する抵抗の影響からスラリーが描く軌跡はインボリュート曲線より 巻きつき が若干, 浅くなる. 排出型は実際の流れに近くなるように溝パターンを修正した. この 2 種のほかに比較対象として汎用の 格子型, 同心円型, と全く溝のない 溝無型, 最も溝が短い 放射型 の 4 種類を準備し, 計 6 種類の溝形状をもつパッドに対して評価を行った. 表 1 に各パッドの諸元を示す. Table 1 Specifications of pads 3.MTI 処理を用いたスラリーフローの定量的評価の試み 3.1 パッド上のスラリーフロースラリーがどの様にパッド上を流れるかを評価するため MTI (Moving Target Indicator) 処理を用いて画像解析を行った.MTI 処理とは動目標の捕捉 追跡を行う処理である. 本実験ではパッドの回転中心で撮影した動画から 1msec 間隔の静止画を取得する. その静止画像をグレースケール化した上で連続する静止画の差分を取り, その閾値の変化を追跡することでスラリーの追跡を行った. 3.2 実験方法パッド上に何も置かずにパッドを 9rpm の回転速度で回転させた状態でスラリーを回転中心から 4mm の位置に滴下, パッド上のスラリーフローの撮影をハイスピードカメラで行った. 表 2 に実験条件を示す. Table 2 Experimental conditions Rotational speed of pad 9 min -1 Slurry concentration Supply rate of slurry Dropping position of slurry (distance from rotation center) 5wt% (CeO2,.4μm) 2mL/min 4mm Number of grooves Length of the grooves[mm] None XY Radial K 16 Outflow Inflow The rate of the area which grooves occupies % 24.8% 24.85% 23.5% 24.85% 24.85% Fig.1 Schematic drawing of slurry flow observation 4.2 スラリーフローの観察結果図 2 に MTI 処理の結果を示す. 図の白い領域がスラリーフローの軌跡であり, ほとんどのスラリーが溝に沿って流れた. 格子型は溝の特徴から流路の選択肢が多く, 横に広

10 がりながら流れる傾向がある. 放射型 流入型 排出型は全面に均一に拡散するように流れた. 同心円型では溝へ入ったスラリーが大きく移動することは無かった. 図 3 にスラリーが溝の中 ( パッドの上 ) を流れ始めてから流失するまでの時間を示す. もっとも短い溝を持つ放射型が排出型よりも早く流失する一方, 流入型は溝の効果により流失までの時間が比較的長い. 図 4 にスラリー流域面積を示す. 流入型が最も広くスラリーを拡散させ, 排出型, 放射型と全面に均一に拡散させるパッドがそれに続いた. None XY Radiation k Outflow Inflow Fig.2 Slurry flow 磨を行った. なお, 研磨が行われるパッドの領域における, 溝が占める面積割合の差は僅差であることから, パッドの研磨領域における溝の面積割合が研磨特性に及ぼす影響は小さいものとする. 4.2 実験結果図 5 に研磨レートと表面粗さの結果を示す. 排出型が最も高い研磨レートを示し, 流入型で最も良い加工精度を示した. これは排出型においては水幕現象が発生し難くなったことで研磨が促進され, 流入型では溝に比較的多く滞留したスラリーが除去物を洗い流入したためと思われる. 最もスラリーの流域面積が少なかった格子型は加工面へのスラリー供給が間欠的になり研磨レート 加工精度が悪くなったと考えられる. 流入型と放射型を比較するとほぼ同じ研磨レートでありながら流入型の方が優れた表面粗さを達成した. これは放射型に関してはスラリーの流失が早く, 加工屑の除去がうまくいかなかったため表面粗さが最も悪くなったと考えられる. Table 2 Experimental conditions Sample 2inch glass substrate Pad MH-N15A Polishing pressure 11.67kPa (119gf/ cm2 ) Rotation velocity of workpiece and pad 9 min -1 Slurry concentration Supply rate of slurry Polishing time 5wt% (SHOROX-V214+Water) 5mL/min 1min Fig.3 Time to spill from the pad Fig.4 The area of slurry 4. 研磨レートと加工精度の評価 4.1 実験方法パッド溝が研磨特性に与える影響を調べるため, 研磨実験を行った. 表 2 に実験条件を示す. 加工対象物は 2 インチのガラス基板を用い, パッド ガラス基板ともに 9min -1 で回転させ 5mL/min の条件下でスラリーを供給し定盤回転中心から 1mm にガラス基板の中心をオフセットして研 Fig.5 Removal rate and surface roughness 5. 結言以下に結果を要約する. 1. スラリーの更新が早すぎると十分な研磨が行われず, 研磨レート 加工精度が低下する. 2. パッド上にスラリーが滞留したほうが表面粗さは良くなる. 3. インボリュート曲線の溝を持つ排出型が高い研磨レートを示した. 参考文献 (1) 畝田道雄, 他 7 名 : デジタル画像相関法を用いたスラリーフローの定量的評価研究, 日本機械学会論文集 C 編,Vol. 77 (211), No. 782, pp (2) 山上雄史, 土肥俊郎, 黒河周平, 山崎努, 瀬下清, ガラス基板の研磨におけるパッド溝形状が及ぼす影響, 日本機械学会九州学生会第 42 回卒業研究発表講演会, (3) 橋本雄一, 木村景一, カチョーンルンルアンパナート : 角形石英ガラス研磨におけるスラリー流れに関する研究, 砥粒加工学会誌,53,9(29) 578.

11 115 ポリシングパッド表面性状に関する研究 Study of polishing pad surface texture 学郷原敬史 ( 九州工業大学 ) 鈴木恵友 ( 九州工業大学 ) 木村景一 ( 九州工業大学 ) パナート カチョーンルンルアン ( 九州工業大学 ) 株式会社荏原製作所福田明和田雄高檜山浩國福永明 Takafumi GOUHARA Keisuke Suzuki,Keiichi Kimura,Panart Khajornrungruang, Kyusyu institute of technology,kawazu68-4,iizuka-shi,fukuoka EBARA Corporation Akira HUKUDA Yutaka WADA Hirokuni HIYAMA Akira HUKUNAGA Key Words :CMP, Polishing pad, conditioning 1. 緒言近年,ULSI の高性能化に伴い半導体素子においては, 高集積化と同時に, 多層配線化が要求されている. この要求を実現させるため, ウェハ表面に存在する凹凸がリソグラフィー工程におけるレンズの焦点深度が浅くなり, 平面度を投影レンズの焦点深度の幅以内に収める必要がある. このため, 多層配線化に必要なフラットなウェハ表面を実現するための技術として, 現在では CMP(Chemical mechanical polishing) 技術が実用化されている. 近年,CMP に要求されるポリシング性能はより厳しくなっているが, これらの要求レベルに適応するためポリシングパッドなど消耗部材の改良がなされてきた. 特にポリシングパッドにおいては, 表面アスペリティがポリシング性能に影響を与えることが報告されている. そのため従来パッドに代わる新規パッドを実現させることにより, ポリシング性能を大幅に改善することが期待できる. そこで, 本研究では, 良好なプロセス性能を実現するため, パッド表面制御方法の実現を目指す. 具体的には, エキシマレーザによるポリシングパッド表面加工方法の確立を目的とする. 2. 実験方法および評価方法 Fig.1 に装置概略図を示す. 装置としては,ArF レーザ部と照射部で構成されている.ArF レーザ ( 波長 193[nm]) は, コヒレント ジャパン株式会社の Xantos S series(arf) を使用した. 照射部はレンズによりレーザ光を集光している. この集光倍率を調整することでエネルギー密度を制御した. エネルギー密度とは, レーザの照射エネルギーを照射面積で割ったものとする. 実験装置概略図を Fig.1, 実験装置外観を Fig.2 に示す. エネルギー密度, 照射時間を変化させた場合の照射条件を Table.1 に示す. また, レーザ照射部の拡大画像を Fig.3 に示す. エネルギー密度, 照射時間を変化させることによりレーザアブレーションによるウレタン材の表面除去を行った. 照射後の表面形状は,KEYENCE 社製 VK-97 を用いて観察した. Fig.2 Schematic of experiment system Fig.3 Sample stage of laser irradiation Fig.1 Experiment device

12 Table.1 Experimental condition Laser microscopic appearance Fig.5 Dependence of Exposed time on Hole depth 3. 実験結果及び考察 Fig.4 にパッドに照射したエネルギー密度と照射後のパッドの穴深さの関係を示す. エネルギー密度が低い場合, ウレタンゴムシートは変化しないが 2.2[mJ/mm 2 ] 以上ではアブレーションが発生した. さらにエネルギー密度を上昇させるに従い, 穴深さも大きくなっていくことが確認できた. Fig5 に照射時間を変化させた場合のレーザ照射後のウレタン表面形状画像と穴深さのグラフを,Fig.6 にそれぞれの照射時間における断面プロファイルを示す. レーザ表面形状はレーザのスポット形を反映し長方形 (3[μm]15[μm]) であった. このときウレタン材表面の平面部を基準とし, 底面部 9 点の平均深さを穴深さ (Hole depth) とした. ここでは, 照射時間が増加するにつれ穴深さが深くなることが確認できる.Fig.5 より,1[sec] の照射で 4[μm] の穴が形成された. また,Fig.6 では 5[sec] から 1[sec] において穴の周囲に熱による表面変質と思われる突起が形成されていた. このことより照射時間を 5[sec] 以下にする必要がある. これらの結果から, ポリシングパッドのアスペリティ 5[μm] を目標とした場合,1[sec] での照射時間が最適条件と考えられる. Fig.6 Hole depth at each time 4. 結言エキシマレーザを用いて, ウレタンゴムシートに対する照射密度を向上させることによりアブレーションが起きる. このことにより表面形状形成が確認できた. 周期 1[Hz], 照射エネルギー 1[mJ], 照射時間 1[sec] の条件下のとき,5[μm] 程度の穴が形成でき, ポリシング性能の改善が期待できる. 参考文献 : (1) 奥園貴久ほか : コンディショニングされたポリシングパッドの表面形状評価方法に関する研究 Fig.4 Dependence of Energy density on Hole depth

13 116 < 日本機械学会九州学生会卒業研究発表講演会 212 年 3 月 11 日 > Copyright 21 社団法人日本機械学会 低融点合金を用いた卓上射出鋳造装置による鋳型内観察と成形性向上 Direct observation of mold filling of desktop die casting machine for low melting point metal 学松本隼人 ( 熊本高専 ) 指導教員 正田中裕一 ( 熊本高専 ) 宮本憲隆 ( 熊本高専 ) 正宇野直嗣 ( 旭川高専 ) Hayato MATSUMOTO, Kumamoto National College of Technology Yuichi TANAKA, Kumamoto National College of Technology Noritaka MIYAMOTO, Kumamoto National College of Technology Naotsugu UNO, Asahikawa National College of Technology Key Words: desktop die casting device, low melting point alloy, observation inside mold 1. 序論モノづくりの分野における最も重要なテーマの一つとして, 加工現象を正確に理解すること が挙げられる. 型 ( 鋳型 ) を利用した多くの加工法において, 鋳型内に射出された溶融合金の挙動を把握するために, 様々なシミュレーション技術が進歩してきている. しかしながら, 実際の現象は複雑であるため, 何らかの方法で型内の現象を可視化して直接観察することが重要になってくる. 実際の鋳造現場においても, それぞれの金型により湯流れ現象は大きく変わるので, 湯流れ可視化の有用性は高い. 本研究室では, 以前に鋳造現象を観察するため, 任意形状の透明なアクリル鋳型内を溶融した低融点合金 ( 溶湯 ) で充填できる装置 ( 以下, 従来型射出鋳造装置とする ) を製作した. 本研究では, その従来型射出鋳造装置の問題点を改善, 高速度カメラを使って流動現象を観察し, 成形品の成形性 ( 充填率 ) を向上することを目的とした. 一定の成果が得られたのでそれを報告する. 2. 射出鋳造装置の改良 Mold part Chamber Actuator が可能となった. 3. 鋳型内観察の結果及び考察これまでの実験により, 射出速度の増加に伴い, 成形性が低下するという結果を得ていたため, 改良した新型射出鋳造装置と高速度カメラを用い, 射出速度及び射出溶湯量の各実験条件における溶湯の鋳型内流れの挙動を詳しく確認する流動現象観察実験を行なった. 実験条件は以下の通りである. 1 バンドヒータによる保温設定温度 :11 2 ピストン速度 ( 射出速度 ): 1,15,2,3,4,5, 6,7 及び 8 mm/s 3 射出溶湯量 :35 及び 4 cm³ 射出速度は従来型射出鋳造装置における実験条件の内, 再現可能な条件を設定し, 射出溶湯量は鋳型内及び流路内体積のおよそ合計である 35 cm³ と, 従来型射出鋳造装置では射出溶湯量の増加により成形性が向上する傾向が見られたため 4 cm³ の二種類を設定した. 以下にその実験結果を示す.Fig.2 は射出速度 1mm/s, 射出溶湯量 35cm 3 における溶湯の鋳型内流れの時間変化を示す. 溶湯は鋳型内を穏やかに流れ, 充填されていることが確認できる. Fig.1 Injection part New desktop die casting machine. 従来型射出鋳造装置には, 装置全体の密封性が低いことや, 溶湯量の任意設定ができないこと, 鋳型の透明度が低く, 目視によってしか流動現象を観察できないなど, いくつかの問題点があった. そこで, 射出部の形状の変更や定量溶湯計量装置 ( チャンバ ) 及び型締め装置を追加して, 問題点を改善した.Fig.1 に製作した新型卓上射出鋳造装置を示す. 左側がアクリル製の透明鋳型からなる鋳型部, 中央が溶湯をピストン シリンダにより射出する射出部, 右側がピストンを押し出すアクチュエータ, 上側が溶湯量を計量するチャンバである. 実験時の装置本体における溶湯の漏れが無く, 溶湯を任意量に設定でき, 従来型射出鋳造装置よりも高い圧力による成形が可能な実験装置となった. また, 鋳型の表面を研磨することで透明度が向上し, 高速度カメラによる記録 再生 (a). s (b)1. s (c)2.3 s (d)3. s (e)4.4 s (f)5.5 s Fig.2 Mold filling in 1 mm/s as shot speed,and 35 cm³ as shot volume. Fig.3 は Fig.2 の条件における成形品の様子を示す. この成形品の状態を見ると未充填箇所はなく, 表面もきれいに成形されていることが確認できる.

14 Filling rate [%] Last location to fill (a)front view of injection model(b)last location to fill Fig.3 Injection model in 1 mm/s as shot speed,and 35 cm³ as shot volume. Fig.4 は射出速度 8mm/s, 射出溶湯量 4cm 3 における溶湯の鋳型内流れの時間変化を示す. 溶湯は鋳型内を激しく流れながら充填されており,(e),(f) では大きなフラッシュの形成が確認できる. Last location to fill (a)front view of injection model (b)last location to fill Fig.6 Injection model in 8 mm/s as shot speed,and 4 cm³ as shot volume. By conventional machine By 35 cm³ as shot volume with new machine By 4 cm³ as shot volume with new machine (a). s (b).2 s (c).3 s (d).4 s (e).5 s (f)1.5 s Fig.4 Mold filling in 8 mm/s as shot speed,and 4 cm³ as shot volume. Fig.5 は湯流れ解析ソフト JS CAST による射出速度 8mm/s での湯流れ解析結果である. その溶湯の流動の様子は Fig.4 の実験結果と同様の傾向を示している. (a).7s (b).14s velocity Max Min (c).25s (d).35s Fig.5 Analysis result of mold filling in 8 mm/s as shot speed. Fig.6 は Fig.4 の条件における成形品の様子を示す. この成形品の状態を見ると大きな未充填箇所があり, 表面にも多数の気泡が確認でき, 成形が明らかに不十分であることが確認できる. Fig.7 は従来型射出鋳造装置及び新型射出鋳造装置における射出溶湯量 35cm 3,4cm 3 における充填率と射出速度の関係を示す. 三角のマーカで示されるグラフが従来型射出鋳造装置による実験結果を示し, ひし形と四角のマーカがそれぞれ新型射出鋳造装置による射出溶湯量 35 及び 4 cm³ での実験結果を示す. Shot speed [mm/s] Fig.7 Relationship between filling rate and shot speed for each shot volume with the new machine and the conventional machine. Fig.2 及び Fig.4 の流動の様子と Fig.7 に示される関係から, 射出速度が速いほど溶湯の流れが乱れ, 空気を巻き込むような挙動で充填が行なわれ成形性が低下するという結果と, 射出溶湯量が多すぎる場合には, 鋳型の各部位における多量の溶湯の漏れがあり, 成形性が低下するという結果を得たので, 以下のように考察した. この空気を巻き込む挙動と溶湯の漏れは射出速度 1mm/s, 射出溶湯量 35cm³ である Fig.2 ではほとんど見られず, 射出速度 8mm/s, 射出溶湯量 4 cm³ である Fig.4 では顕著に確認された. このことから, 成形性が低下する大きな要因は, 射出速度の増加に伴う溶湯の鋳型内流れの乱れによる空気の巻き込みと射出溶湯量の過多で生じる過度の鋳型内圧力によるパーティング及びエアベントにおける溶湯の噴出であると考えられる. 実際に, 比較的速い射出速度領域の実験において, 適切な射出溶湯量であれば, 成形性の向上が確認された. なお,Fig.5 に示す射出速度 8mm/s における鋳型内流れを解析結果は,Fig.4 に示す実際の鋳型内流れと同様に, 湯先が乱れ, 空気を巻き込むような流れとなっており, 解析シミュレーション結果と実際の鋳型内流れが定性的に一致している. しかし, 鋳型内を溶湯が満たした後のバリ及びフラッシュの形成とそれに伴う溶湯の噴出は Fig.5 の解析結果からは確認することができない. これらも成形性に影響していると考えられるので, 鋳型内を可視化し, 直接観察することで成形性低下の新たな要因を得られたといえる. 4. 結論 1. 従来型射出鋳造装置の問題点を改善した新型射出鋳造装置を製作し, 高速度カメラによる溶湯の鋳型内流れの挙動を詳しい観察をおこなった. 2. その結果から, 本実験における成形性 ( 充填率 ) が低下する大きな要因として以下の二つを得た. 射出速度の増加に伴う溶湯の鋳型内流れの乱れによる空気の巻き込み 射出溶湯量の過多に起因する過度の鋳型内圧力によるパーティング及びエアベントにおける溶湯の噴出 3. 上記の要因に基づいた実験条件において, 成形品の成形性を向上させることができ, 実際の鋳型内流れと流動解析シミュレーションの結果との比較ができた.

15 117 FDM システムに適適用可能な導電性樹脂の押し出し機構における評価 117 Evaluation in the extrusion mechanism of conductive resin that can apply to FDM system 学松永健嗣 ( 九工大 情報工 ) 指導教員 正是澤宏之 ( 九工大 情 ) 正楢原弘之 ( 九工大 情 ) 正鈴木 裕 ( 九工大 情 ) Kenji MATSUNAGA A, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroshi KORESAWA A, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Key Words:fused d deposition modeling, extrusion mechanism, conductive resin 1. 緒論製品開発サイクルの短期化に伴い,RP(Rapi id Prototyping) 技術が注目を浴びている.RP 技術とは. 迅速に試作作品を造形する技術の意味であり, 切削道具や金型を用いることとなく造形が可能である.RP 技術の一つである熱溶解積層法 (Fused Deposition Modeling) とは, ワイヤー状の材料を融点以上にに加熱したヘッドから吐出し, 造形ステージ上の任意の位置に堆堆積と固化を繰り返すことで 3 次元造形物を作製する手法であるる. しかし, 既存の FDM で吐出可能な材料は, ABS 樹脂とポリリカーボネート樹脂に限られている. 機能性材料である導電性材材料を用いた造形が可能となれば,MID の造形に応用できる.M MID とは, プラスチック成型品の表面に立体的な電気回路を成形形する機能部品である.MID は従来, 部品点数が多く, 組み立て工工程が多いため, 複雑な工程を経て回路を形成している. FDM での造形が可能になれば, プラスチック成型品と電気回路部をを同時に成形することができ, 成型工程の短期化が期待できる.Fig.1 に FDM の造形の概要図を示す. Fig.1 Fused Deposition Modeling 本研究室では, これまで導電性材料をはんだんだ粉末と銅粉末を ABS 樹脂に混練して作製し, これを既存の FDM の吐出機構であるプーリ機構で吐出することを試みた. プーーリ機構はワイヤー状の ABS 樹脂を挟み込みながら送り出すこことで吐出を行う. しかし, 導電性樹脂は脆性が高いため, プーリ機構では導電性樹脂を挟むことができないため吐出することはは困難である. そこで, 脆性の高い導電性樹脂でも吐出可能なな押し出し式の吐出機構の作製を行った. この吐出機構を用いて,FDM による造形を行うには, ステージの移動速度に対対応した吐出機構の吐出速度を制御するプログラムが要求される. 本研究では, 作製した吐出機構が FDM システムに適用可能かどうかを評価する. 評価対象は, ステッピングモータの ON/OFF 性能, 吐出速度の制御とする. 2. 実験方法ステッピングモータの回転数を制御することで吐出速度の制御が可能であるかを評価するために, 実験値と理想値と の比較を行う. また, 押し出し機構における ON/OFF 性能を評価するために, 時間当たりの吐出質量を計測し吐出質量のばらつきを評価する. 2.1 吐出速度の理論値と実験値値本実験では, 回転数を制御するることで吐出速度を制御できるか評価するために, 吐出量を計測すすることで式 (1) に従って吐出速度を求める.V[m/s] は吐出速度,ρ は導電性材料の密度 [g/cm 3 ],r 1 は吐出後の導電性材料の径をそれぞれれ表している. V = M / πr 2 ρ (1) 1 実験値は, ステッピングモータの回転数を 1.648[rad/s], 2.47[rad/s], 4.944[rad/s] とし, それぞれの回転数につき 3 秒間の吐出を 3 回ずつ行う. その 3 回の吐出出量の平均をそれぞれの回転数における吐出量とし, 式 (1) の M にその吐出量を代入することで吐出速度の実験値を求める. また, 入力量と吐出量が等ししい際を理想状態とすると, 理想状態の吐出速度 V 1 [m/s] は, 式 (2) に従って求めることができる. R[m] はピニオンの半径,ω [rad d/s] はステッピングモータの回転数,A 1 [m] は導電性樹脂を供給するするノズルの径,A 2 は吐出部のノズルの径を表している. 今回の実験験では,R=5.5 [mm],a 1 =8. [mm], A 2 =2.5 [mm] である. V 1= R A Rω A 式 (2) から求めた V 1 を式 (1) と比較比較する. 理論値での吐出速度は, ステッピングモータによって吐出される際に, 入力の回転数がラックアンドピニオンにより押し出し速度となり, 出力である吐出速度に対応する. このことから, 回転数の制御で吐出速速度が制御できると考えられ, 実験値が理論値に近似していれば制御が可能と評価する. 2.2 押し出し機構の ON/OFF 性能本実験では, ステッピングモータの ON/OFF を繰り返すことで吐出質量が変化するかを調調査する. 実験値はステッピングモータの回転数を 1.648[rad/s s],2.47[rad/s], 4.944[rad/s] とし, Arduino により,5 秒間吐出後後モータを OFF にするモータの ON/OFF の繰り返しを行う. これを 5 回繰り返した値を実験値とする. それぞれの吐出質量量を計測し, 吐出量とする. これを, 回転数ごとにばらつきを評価し, 押し出し機構の ON/OFF 性能とする. 3. 実験験装置吐出速度の制御をするためステッピングモータの回転数を制御する為のプログラムを吐吐出機構に組み込む. 1 2 (2)

16 3.1 押し出し機構 Fig.2 に作製した吐出機構の概要図を示す. 吐出機構には回転数の制御が可能なステッピングモータ ( 富士電気社製 ) を用いる. 導電性材料の押し出しには, ラックアンドピニオン ( 小原歯車社製 ) をモータの回転軸にとりつけることにより送り部を作製する. また, 導電性材料を溶融するためにヒータを用いてノズルを一定温度に保つ. Stepping Motor Rack and Pinion Feeding section Nozzle Conductive material Stepping Motor Guide Heater Rectangular Iron Fig.2 Extrusion mechanism 3.2 制御方法 Fig.3 にステッピングモータに Arduino を組み込んだ図を示す. 吐出機構にシステムを組み込むために, モータの制御回路として,Arduino を用いる.Arduino は AVR マイコン 入出力ポートを備えた基板 Wiring をベースとした Arduino 言語とそれの統合開発環境から構成されるシステムである. 今回は, モータの ON/OFF をプログラムに組み込むことにより, モータの回転時間を制御する. また, モータの回転数を変更するプログラムにより, 回転数を変更した場合の吐出速度を求める. Motherboard Arduino Fig.3 Incorporate the Arduino to the stepping motor 4. 実験結果及び考察 4.1 吐出実験 ( 回転数による吐出速度 ) 各回転数における実験値と理論値を Fig.4 に示す. Speed of extrusion [m/s] y =.176x y = -.4x Theoretical Value Revolution [rad/s] Experimental Value Fig.4 Relationship between Speed of extrusion and Revolution このグラフより, 理論値は傾き.176 で増加傾向を示すが実験値は傾き -.4 で減少傾向を示している. ラックアンドピニオンに滑りが生じて, 送り部が送れていないことを確認した. このことから, 吐出速度の減少傾向を示した原因として, 導電性材料は高粘性なので, 送り出している際に負荷がかかり, 粘性による抵抗よりモータのトルクが小さいためステッピングモータが脱調してしまうと考えられる. そのため, 吐出速度が速くならない原因としては送り部がノズルに近づくにつれ, ノズル下部に導電性材料が溜まり, 粘性が高くなり, 送り速度も減速したためと考えられる. 粘性による抵抗力より高トルクのステッピングモータを用いれば, モータは高回転数でも脱調しにくくなり, 送り速度は回転数に対応した速度になると考えられる. 4.2 モータの ON/OFF 性能実験各回転数において 5 秒間吐出後モータを OFF にするモータの ON/OFF により吐出した時の吐出質量を Fig.5 に示す. Mass of extrution [g] Revotion [rad/s] Fig.5 Relationship between Mass of extrusion and Revolution この結果より, 各回転数において吐出質量のばらつきがあることがわかる. 吐出の際に粘性の高い ABS 樹脂が樹脂供給部壁面に付着しはんだがノズルに流れるという現象が見られた. この原因としては, 導電性材料を加熱し, 吐出する際に加熱温度がはんだの融点に達したため ABS 樹脂とはんだが分離したと考えられる. 改善として, はんだが溶融する温度に近い樹脂を用いて新たな導電性材料を作製するか, 加熱部の溶融体積を少なくすることで吐出の際に,ABS 樹脂とはんだが分離しないようにする. 5. 結論 FDM システムに今回の吐出機構を適用できるかを確認するために, ステッピングモータの ON/OFF 性能, 回転数を制御することで吐出速度の制御ができるかを評価した. 吐出速度の理論値は傾き.176 で増加傾向を示したが, 実験値は傾き -.4 で減少傾向であった. 回転数を制御して吐出実験を行い, 理論値と実験とを比較したが理論値に近似しなかった. 作製した吐出機構では吐出速度の制御ができなかった. ステッピングモータの ON/OFF 性能では, 各回転数で吐出質量のばらつきが約 2 倍あることを確認した. [ 謝辞 ] 本研究を行うにあたり, 適切なご指導, 助言を与えてくださった研究室の諸氏に対し, ここに厚く御礼申し上げます.

17 118 機能性材料基板の CMP とその加工特性 Polishing Characteristics of Functional Materials by Chemical Mechanical Polishing 学李学昌 ( 九州大 ) 学古賀慎二 ( 九州大 ) 正土肥俊郎 ( 九州大 ) 正畝田道雄 ( 金沢工大 / 九州大 ) 正黒河周平 ( 九州大 ) 正大西修 ( 九州大 ) Hagchang LEE, Kyushu University, 744, Motooka, Nishi-ku, Fukuoka Shinji KOGA, Graduate school of Kyushu University Toshiro DOI, Syuhei KUROKAWA, Osamu OHNISHI, Kyushu University Michio UNEDA, Kanazawa Institute of Technology Key Words : Lithium niobate (LN ; LiNbO 3 ), Chemical Mechanical Polishing (CMP) 1. 緒言多機能 高性能化を目指す機能性基板材料として光学デバイス用のニオブ酸リチウム (LN;LiNbO 3 ), パワーデバイス用の炭化ケイ素 (SiC),LED 用の窒化ガリウム (GaN) などが幅広く用いられると予想されている. これらの材料は, 熱伝導率が高く高温での操作が可能, さらには放熱性に優れているなどの特徴を有しており, 高性能化を目指す次世代半導体デバイスの材料として注目されている. これらの材料は, コストの削減や高性能化のために小型化, 集積の高密度化などが要求されており, より平坦化された加工面が必要となっている. そこで現在, 半導体デバイス製造の最終工程の平坦化技術として一般的に用いられているのが CMP(Chemical Mechanical Polishing) 技術である.CMP とは, パッドや砥粒などによる機械的除去作用とスラリーなどによる化学的作用の複合作用によって加工を行う超精密加工法の一つである. この CMP 技術は高能率および高精密な加工が可能である. 本研究では, 数多くある材料の中でも弾性表面波フィルタ (Surface Acoustic Wave : SAW) や光電変換素子など様々な光学デバイスに広く用いられている LN に注目した. 本稿では,LN-CMP の研磨特性に及ぼす機械的作用と化学的作用に着目し, コロイダルシリカスラリーとダイヤモンドスラリーを用いて LN の研磨特性に及ぼす諸要因 ( 研磨圧力, スラリー濃度 ) および研磨前後の表面粗さについて調査した. 2. 実験装置および実験条件実験条件を表 1 に示す. 実験装置には卓上型 CMP 装置 ( ナノファクター社製 ) を用いた. その外観写真を図 1 に示す. 研磨量の測定には電子天秤 ( 島津製作所社製 ) を用いて研磨前後の質量差をはかり, 研磨レートを算出した. また, 試料の研磨前後の表面粗さの観察には光干渉粗さ計 (Veeco 社製 ) を用いて測定をした. Fig.1 Polishing machine (NF-3) Table1 Experimental conditions Workpiece Lithium niobate wafer(2inch) Polishing machine NF-3(Nano Factor Co,Ltd) Slurry Colloidal silica(grain size:5nm) Diamond(Grain size:2nm) Polishing pad IC1 XY-groove Rotational speed 6 min -1 Grain concentration Slurry flow rate Polishing pressure Time 5, 15, 3 wt%(colloidal silica).2,.5, 1., 1.5 wt%(diamond) 5 ml/min(colloidal silica) 3 ml/min(diamond) 1, 15, 25, 3 kpa 4 min 3. 実験結果および考察 3.1 研磨特性に及ぼす研磨圧力の影響 (1) CMP の研磨量は式 (1) に表されるプレストンの式 (RR : 研磨量,k : プレストン定数,p : 研磨圧力,v : 相対速度, t : 研磨時間 ) によって経験的に示されている. Preston s equation : RR=k p v t (1) 研磨圧力が研磨レートに及ぼす影響を調べるため, 他の条件をすべて同様にし, 研磨圧力を変化させながらコロイダルシリカスラリーとダイヤモンドスラリーを用いて研磨実験を行った. その結果を図 2 に示す. コロイダルシリカスラリー, ダイヤモンドスラリー両方とも研磨レートは研磨圧力に比例し, プレストンの式にしたがうことがわかる. つまり LN で研磨を行う時に, より高い研磨レート値を得るためには研磨圧力を上げる必要があると考えられる. また, スラリー毎で研磨レートの比較をすると, コロイダルシリカスラリーの方は 2~6nm/min の範囲にあることに対し, ダイヤモンドスラリーの方は 3~9nm/min の変化があり, ダイヤモンドスラリーの方がコロイダルシリカスラリーの方より研磨圧力が高くなるにつれ, 研磨レートの傾きは大きい. このことから, ダイヤモンドスラリーの方がコロイダルシリカスラリーの方より研磨レートに対する研磨圧力の影響が大きいと考えられる. 一方, 研磨圧力を変化させて実験を行った結果から最も研磨レートが高かったときの研磨後の表面粗さの観察結果を図 4 に示す. コロイダルシリカスラリーを用いた場合がダイヤモンドスラリーを用いた場合より表面粗さ値 (Ra) が低く, より平坦化された表面が得られた.

18 (a)colloidal silica slurry (a)colloidal silica slurry (b)diamond slurry Fig.2 Effect of polishing pressure on removal rate (b)diamond slurry Fig.3 Effect of slurry concentration on removal rate 3.2 研磨特性に及ぼすスラリー濃度の影響スラリー濃度が研磨レートに及ぼす影響を調べるために, スラリー濃度を変化させて実験を行った. 図 3 に結果を示す. コロイダルシリカスラリーの場合, スラリー濃度が 5wt% から 3wt% の範囲において研磨レートはやや上下するものの, 大きな変化は見られない. また, ダイヤモンドスラリーを用いた場合は, コロイダルシリカスラリーを用いた場合とは異なり, スラリー濃度が高くなるにつれ, 研磨レートは高くなる傾向がみられる. 以上の結果から LN-CMP にダイヤモンドスラリーを用いた場合, コロイダルシリカスラリーを用いた場合に比べ, スラリー濃度が研磨レートに及ぼす影響が大きいと考えられる. 一方, 研磨後の表面粗さの観察結果を図 5 に示す. それぞれの結果は, 両スラリーで最も研磨レートが高かったときの結果である. 研磨圧力を変化させてときと同様に, コロイダルシリカスラリーの方が, 表面粗さ値が低く, より高品位な表面が得られた. 4. 結言機能性材料基板の一つである LN に注目し, 高能率, および高品位面を実現する CMP プロセスの確立を目標とし, コロイダルシリカスラリーおよびダイヤモンドスラリーを用いて実験を行った. 特に今回は, 研磨特性に及ぼす諸要因の中でも, 研磨圧力とスラリー濃度に着目し, 以下の結果を得た. 1) コロイダルシリカスラリー, ダイヤモンドスラリー両方とも研磨レートは研磨圧力に比例する傾向にある. また, ダイヤモンドスラリーの方が研磨レートに対し, 研磨圧力の依存性は高い. Ra=.82nm (a)colloidal silica slurry(5wt%)(b)diamond slurry(1.wt%) Fig.4 Surface of LN after CMP at pressure of 3kPa Ra=.75nm Ra=2.9nm Ra=1.42nm (c)colloidal silica slurry(3kpa) (d)diamond slurry(3kpa) Fig.5 Surface of LN after CMP at slurry concentration of (c)15wt% and (d)1.5wt% 2) コロイダルシリカスラリーに比べダイヤモンドスラリーの方が研磨レートに対するスラリー濃度の依存性は高い. 3) コロイダルシリカスラリーを用いて研磨を行ったとき, ダイヤモンドスラリーに比べ, より平坦な加工面が得られる. 文献 1) F.W.Preston: The theory and design of plate glass polishing machine, J.Society of Glass Tech.(1927), 9, pp

19 119 三次元測定機能を有する歯車測定機の開発と, はすば歯車の歯面スキャニング測定 Development of gear measuring machine with coordinate measuring function and scanning measurement of helical gear tooth surface 学野地俊成 ( 九大 ) 正黒河周平 ( 九大 ) 土肥俊郎 ( 九大 ) 大西修 ( 九大 ) Toshinari NOJI, Kyushu University, 744 Motooka, Nishi-ku, Fukuoka Syuhei KUROKAWA, Kyushu University Toshiro DOI, Kyushu University Osamu OHNISHI, Kyushu University Key Words :Coordinate Measuring Machine, Three-dimensional Measurements, Gear Measuring Machine, Spur Gear, Helical Gear 1. 緒言加工技術の高度化に伴い, 自由曲面形状をもつ工業部品 製品が多分野にわたって製作, 使用されている. そのため自由曲面形状部の高精度な加工に加えて, 高精度な測定が不可欠となっている. 測定に用いられている測定機は, 専用測定機と三次元測定機 (CMM:Coordinate Measuring Machine) に大別される. 専用測定機は比較的安価であり, 一定の形状をしたもののみだが, 容易かつ効率的に高精度で測定を行う事が出来る. 一方,CMM は比較的高価であり測定前の準備段階で測定物の諸元を用意する必要があり測定作業も非常に煩雑だが, ある程度, 測定対象を限定せず汎用的な測定を行う事が出来る. 本研究では, 歯車専用測定機 (GMM:Gear Measuring Machine) に 3 次元プローブを付加する事で CMM の機能を付加し, 専用測定機の利点である, 高速 高精度かつ簡易に測定できるという点を生かしつつ,CMM の利点である汎用性を部分的に付加し, 測定機の利便性を更に向上させる事を目的としている. 本報では, 平歯車と, ねじれ角の異なる諸元をもつはすば歯車について軸直角断面におけるスキャニング測定を行い, 得られた 3 次元座標データについて考察を行う. 特に, ねじれ角の違いによる測定への影響を調査する為に, ねじれ角の異なる 2 種のはすば歯車を用意した. 2. 測定機概要 Fig. 1 Three-dimensional probe and stylus 開発中の測定機は GMM( 大阪精密機械社製 DDS-CLP35) に 3 次元スキャニングプローブ (RENISHAW 社製 SP6Q) を取り付け 3 軸方向の変位を同時に検出することが出来るように改良されたものである ( 図 1).3 次元プローブ先端の スタイラスチップが測定面と接触し, 力を受けた方向にプローブが変位する. 変位量は電圧値で出力され, 電圧値を長さに変換する. 得られたプローブの変位量とプローブ自体の位置座標から 3 次元座標データを得る. 測定機はプローブを 3 方向に移動させるための直交 3 軸と, 歯車を回転させるための主軸の計 4 つの軸を有し, 互いに独立駆動可能である. 正確な位置決めを実現させるためにクローズドループ制御となっている. 3. 測定について 3.1 歯車の諸元測定に使用した歯車の主な諸元を表 1 に示す. 平歯車, はすば歯車 1 は研削仕上げを施している. Table 1 Specifications of gar Module Number of teeth Reference diameter(mm) Pressure angle( ) Helical hands Helix angle( ) Spur gear Helical gear1 Helical gear Right Left 測定方法測定座標として歯車の半径方向に RD(Radial) 軸, 歯車外周の接線方向に TA(Tangential) 軸, 歯車軸方向に AX(Axial) 軸をとった.RD 軸,TA 軸の原点は歯車中心である. 平歯車 はすば歯車の歯溝を測定した. 初めに平歯車, はすば歯車 1 については 5 点, はすば歯車 2 については 1 点,TA 軸方向に等間隔にタッチ測定を行った. 得られた座標データを元にデータ補間を行い, それに基づいて離散点プロービング (DPP:Discrete-Point Probing) を行う. 得られた座標を目標として既定経路スキャニング (PPS : Pre-defined Path Scanning ) を行い歯溝を測定した. スキャニングは軸直角断面に沿うように行い, スタイラスチップ中心の座標データを取得した. スキャニング速度は 1mm/s に設定した. 4. 測定結果平歯車とはすば歯車 1,2 の測定結果を図 2 に示す. 今回の測定では軸直角断面に沿ってスキャニングを行ったので, 理想的にはスタイラスチップの AX 軸方向変位は のはず

20 である 図 2(b)に示す平歯車の場合は AX 軸方向のスタイ ラスチップのふらつきが 3μm の範囲に収まっているが 図 2(d)に示すはすば歯車 1 の場合は 3μm の範囲で規則的 に大きな変位が生じている 図 1(f)のはすば歯車 2 もほぼ 同様の変位が認められる はすば歯車 2 の測定結果は平歯 車 はすば歯車 1 と比較して荒れているが これは歯車表 面粗さの違いによるものである はすば歯車 2 は全体とし てははすば歯車 1 とほぼ同じ特徴が出ているが 歯底が丸 い為 AX 軸方向変位は はすば歯車 1 のように急ではなく 滑らかになっている 619 AX(μm) RD(μm) TA(μm) (a) Spur gear TA-RD 2 TA(μm) 2 4 (b) Spur gear TA-AX で異なる しかし スタイラスチップが歯面の傾きに沿っ て図 4 のようにずれる向きは 左右歯面での接触点位置の 差が緩和される方向になっており ねじれ角が一概にずれ を大きくするとは言えない 図 2(d) 図 2(f)共にはすば歯車の左右作用歯面における AX 軸方向変位はほぼ一定となっている この場合の AX 軸方向変位は歯車法線方向にプローブが変位する事から 歯車軸と歯面法線方向の成す角の余角に依存している つ まり 基礎円筒ねじれ角から生じる変位である 歯面法線 方向と歯車軸とのなす角は同一歯車であればインボリュー ト歯面のどの位置においても等しい AX 軸方向変位は 押し込み量sinβb で表されるものと考えられ この値は歯 面上で一定となる(βb 基礎円筒ねじれ角) 基礎円筒ねじ れ角の値は はすば歯車 1 は はすば歯車 2 は 22.6 である (1) 以上から はすば歯車をスキャニング測定する際の AX 軸方向変位の大部分は 歯車の基礎円筒ねじれ角に依存す る一定の値であることから あらかじめ AX 軸方向にオフ セットを与える事で左右同一断面の測定が可能となると考 えられる 619 AX(μm) RD(μm) TA(μm) (c) Helical gear1 TA-RD 2 TA(μm) 2 4 (d) Helical gear1 TA-AX Fig. 3 Schematic representation of AX axial displacement 1 1 AX(μm) RD(μm) TA(μm) TA(μm) 1 (e) Helical gear2 TA-RD (f) Helical gear2 TA-AX Fig. 2 Profiles of spur and helical gear tooth spaces Fig. 4 Schematic representation of AX axial displacement 2 5. 考 察 得られた結果から 平歯車よりもはすば歯車の方がスキ ャニング測定時にスタイラスチップが AX 軸方向に大きく ずれやすい事が分かる はすば歯車を測定すると AX 軸方向の変位は大きく変化 するが 作用歯面におけるふらつき幅自体は平歯車と同程 度である 変位の大きな変化は はすば歯車のねじれ角に より 歯面が AX 軸方向に対して傾いている為 スタイラ スチップが傾きに沿ってずれている為だと考えられる 平 歯車とはすば歯車の AX 軸方向に大きく変位している箇所 は 歯先 歯面 歯底 のそれぞれの変わり目と対応してい ると考えられ 平歯車よりもはすば歯車において より顕 著である ねじれ角の影響を受けない歯先および歯底は AX 軸方向のずれは平歯車と同程度の値に収まっている ただし ずれがないと仮定して幾何学的に求められる歯車 の歯面とスタイラスチップの接触点の AX 軸方向成分は図 3 に示すようにスタイラスの延長上ではなく 左右の歯面 6. 結 言 平歯車 はすば歯車の 歯先 歯面 歯底 のスキャニン グ測定を行った はすば歯車は AX 軸方向のずれが大きい 現状では押し込み量 3μm において ねじれ角 3 のはす ば歯車で 28μm 程度ずれてしまい 同一軸直角断面を測定 できているとはいえない しかし 基礎円筒ねじれ角は歯 車毎に決まっているので 測定時に AX 軸方向にずれの分 だけプローブをあらかじめオフセット移動させれば 左右 同一断面内での測定は可能だと考えられる 文 献 1) 上野拓 歯車工学 共立出版株式会社 p 謝 辞 本研究は大阪精密機械 (株)田口哲也様にご指導いただきま した 厚くお礼申し上げます

21 111 樹脂模型によるスケールモデルを用いた通気性金型の通気量予測式の検討 Study on the air flow prediction of porous mold using resin scale model. 学島崎潤也 ( 九工大 情報工 ) 正楢原弘之 ( 九工大 ), 正鈴木裕 ( 九工大 ), 正是澤宏之 ( 九工大 ) Junya SHIMAZAKI, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Key Words : Air permeability structure, Scale model 1. 緒論 プラスチック製品等は, 射出成形によって製作されてい る. 射出成形は溶融樹脂を金型に流し込み, 冷却, 固化さ せることで目的の成形品を得る成形法である. この造形法 は, 成形時, 金型内にガスが溜まることがある. ガスは樹 脂の充填不足などの造形不良の原因となる. そこで, 金型 に通気構造を組み込むことでガスを排出することができ, 成形不良対策として有効である. この通気構造を作成する 手法の一つとして, 金属光造形複合加工法がある. 金属光造形複合加工法 1) は, 金属粉末積層造形と高速切 削を融合させた加工法である. 通気構造の一つとして, Fig.1(a) の様な格子状構造が挙げられる. 本構造は, 金属粉 末にレーザ光を格子状に走査することで格子状に焼結させ, 格子の間隙を空孔とする通気構造である. 現在, 必要な通気性能を満たす通気構造の寸法を設計段 階において把握する手法がない. そのため, 必要な通気性 能が得られるまで, 通気構造を実際に造形し, 測定を繰り 返す必要がある. そこで, 通気構造の通気量を算出できる 予測式が必要となる. 予測式の検討に用いるモデルは正確 な寸法が必要である. しかし, 金属光造形複合加工法で作 成した格子状構造では, Fig.1(b) のように造形時に余剰に 固化した箇所において, 空孔が潰れ空孔数や空孔部面積が 造形毎に変わる. そのため, 予測式の検討は困難である. そこで, 余剰固化の発生しない造形法によって作成した樹 脂模型による予測式の検討を提案した. また, 実際の射出成形は非常に高圧力, 短時間で成形を 行うため, 同条件で通気性能を試験する場合, 大型の機材 が必要となり危険性も高い. そこで, スケールモデルを用 いることで低圧条件により, 同じ流れ挙動を再現できると 考えた. 本実験では, スケールモデルを用いることの有用性を調査し, 予測式の検討を行う. Laser scanning path Fig.1(a)grid structure Fig.1(a) view Fig.1(b)cross-section view Fig.1 Air permeability Grid structure Pore Surplus solidification 2. 目的本研究ではスケールモデルを用いることで, 低い圧力条件で, 高圧条件の通気構造と同じ流体挙動が再現可能であることの確認を行う. また, 金属光造形複合加工法による通気構造とスケールモデルにより式の適否検討を行う. 3. 予測式の概要 通気量予測式として流体摩擦による圧力損失の式 2) である (1) 式を使用する. (1) 式は 通気量の式である (2) 式に変形するこ とが可能である. d: 内径 [ mm] V : 流速 [ m / s] 格子状構造の内径 d は, 等価直径 De として求める. 等価直径の式 3) を (3) 式に示す. 格子の縦辺を a, 横辺を b とする. 圧力損失 P は未知の値であるため, 測定により求める. また, 管摩擦係数 λ は流れの状態が層流と乱流で, 用いる 式が異なる. 射出成形は短時間かつ高圧で成形を行うため, 流速は非常に大きくなり, 通気構造における流体は乱流状 態になると考えられる. そこで, 管摩擦係数 λ は,(4) 式に 示す乱流状態における式より算出する. ここで,Re はレイ ノルズ数とする. l V P d d Q ( P ) 8 l : 密度 [kg/m P : 圧力損失 [ MPa] また, レイノルズ数 Re は (5) 式より算出する. 流速 V は (6) 式を用いて算出する. ここで,A は空孔部面積 とする. 流量 Q を測定により求める Re 1 2 ] Q : 通気量 [ L / min] ( a b) De 1.3 ( a b) UL Vd Re ( / ) ν U : 代表速度 [m/s] μ : 粘性係数 [Pa s] Q V A : 管摩擦係数 1/ L : 代表長さ [m] l : 管軸長さ[ mm] ν : 動粘性係数 [m 2 /s] (1) (2) (3) (4) (5) (6)

22 4. 模型試験の概要 流体において, レイノルズ数とマッハ数を合わせること Reynolds number Flow velocity[m/s] で流れの状態を模擬することが可能である. レイノルズ数 は慣性力と粘性力との比で定義される無次元数で, 流れの 性質を示す. また, マッハ数は流速と音速との比であり, 流体の圧縮性の指標となる. 模型試験においてレイノルズ 数とマッハ数の両方を一致させることは困難であるため, 本研究ではレイノルズ数に着目する. 5.1 実験条件 5. レイノルズ数測定実験 金属光造形複合加工法により Fig.2 に示す格子状構造の試験 片を製作する. Number of Pore z y 9 Fig.2 Test piece of Metal model Fig.2 では, 造形条件としてレーザ走査間隔を 1.2[mm], 1.4[mm],1.6[mm] で造形を行う. 試験片をそれぞれ p1.2, p1.4,p1.6 とする. 試験片の製作には, 金属光造形複合加 工機 (( 株 ) 松浦機械製作所製,LUMEX25C) を用いる. また, スケールモデルを Fig.3 に示す. スケールモデル の製作にはインクジェット方式の 3D プリンター (( 株 )Objet Geometries 社製,Objet3) を用いる. 試験片に加える空気圧を ~.1[MPa] とし.2[MPa] 間隔で 流量と圧力損失を測定する. 得られた結果より各条件のレ イノルズ数を算出する. 5.2 レイノルズ数測定実験結果および考察 レイノルズ数測定実験の各条件における加える圧力とレ イノルズ数の関係を Fig.4, 加加える圧力と流量の関係を Fig.5 に示す x Melting 9 pitch Grid structure Fig.4 Relation between Pressure and Reynolds number Pressure[MPa] Fig.3 Test piece of resin scale model Fig.5 Relation between Pressure and Flow velocity Fig.4 より,p1.2,p1.4,p1.6 の.1[MPa] の時のレイノル ズ数は, スケールモデルにおいて.2[MPa] 以下で一致す る. これより, スケールモデルは金属光造形複合加工法に よる格子状構造と比較し, 低い圧力条件で, 高いレイノル ズ数となることがわかる. これは, レイノルズ数は代表速 度と代表長さの積によって算出される値であるからである. Fig.5 より, 金属光造形複合加工法による格子状構造とスケ ールモデルの流速に大きな違いがないことが分かる. 流速 resin scale model Pressure[MPa] p1.2 p1.4 p1.6 model に差がなかったが, スケールモデルは代表長さが長いため, 大きなレイノルズ数となったと考えられる. これより, ス ケールモデルは低圧による試験で, 高圧条件の現象を再現 することが可能であると分かる. 6. 予測式の適否検討 (2) 式は右辺に未知数である管摩擦係数 λ と, 測定値 P が含まれているため (6) 式のように式変形を行う. Q 2 d 5 2 Re 1/ 4 (6) P l (6) 式の左辺を測定値より算出した値を実測値, 右辺を理論 値とする. 各圧力条件における, 実測値 / 理論値を Fig.6 と する. 理想値である 1 を破線で示す. measured value/theoretcal value Pressure[MPa] Fig.6 Relation between measured value/theoretcal value and Pressure Fig.6 より, モデル試験における, 理論値と実測値の比は 理想的な値である 1 に近い. これより, 提案した予測式 はスケールモデルにおいて適用可能である. また, スケ ールモデルは圧力が増加しても理論値と実測値の比に大 きな変化は見らなかったのに対し, 金属複合加工法によ る通気構造は, 増加傾向を示した. これは, 通気構造の 空孔径が余剰固化により正確に把握できないため, 圧力 上昇に伴い, 理論値と実測値に差が生じたと考えられる. 7. 結論金属光造形複合加工法による通気構造の空気圧とレイノルズ数の関係を調査し, 樹脂によるスケールモデルを用い 金属光造形複合加工法により製作した通気構造の予測式の適否を検討した結果, 以下の結論を得た. 通気構造のスケールモデルは, 通気構造より低い圧力条 件で通気構造とレイノルズ数が一致する. スケールモデルによる測定において予測式の適用が可能 であることを確認した. 金属光造形複合加工法による通気構造は, 加える圧力の 増加に伴い, 理論値と実測値に差が生じたのに対し, ス ケールモデルは大きな変化は確認されなかった. 参考文献 1) 阿部諭 : ワンプロセスマシニングによる金型のラピッドプロダクション, RP 産業協会, 第 24 回 RP シンポジウム (23)pp ) 日本機械学会 : 基礎編 α4 流体工学 (26)pp ) The Engineeringtoolbox < (212/1/19 アクセス ) p1.2 p1.4 p1.6 scale model

23 1111 二段すくい角を有する SWC 切削工具の開発 Development of SWC cutting tool with double-rake angle 学多賀雅勝 ( 九工大 ) 正是澤宏之 ( 九工大 ) 正楢原弘之 ( 九工大 ) 正鈴木裕 ( 九工大 ) Masakatsu TAGA, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 68, Iizuka-shi, Fukuoka Key Words: SWC cutting, end mills, double-rake angle 1. 諸論金型に代表される金属部品の切削工程は, 荒加工, 中仕上げ加工および, 仕上げ加工に大別され, 荒加工は材料からの除去量が最も多く, この工程を高能率化することでリードタイム短縮が期待されている. これを実現する手法として構成刃先を積極的に利用した SWC 切削法 1) が挙げられる. 従来, 切削加工における構成刃先の発生は, 面精度の低下, 切削抵抗の変動による刃先の損傷の原因として考えられており, 構成刃先の生成を抑制することが望ましいとされてきた. また, 構成刃先は被削材の一部の硬度が増し, すくい面の先端部に付着することで実質すくい角の増加, 工具刃先の保護という特徴も持つ. 本研究ではラジアスエンドミルの刃先に二段すくい角を用いる SWC 切削法を適用し, 荒加工用切削工具の高能率, 高寿命化を実現する工具の開発を目的とする. 本報ではすくい角 deg のスローアウェイチップにそれぞれ-2,-3,-4deg のネガティブランドを研ぎ付けた二段すくい角工具を試作し, 切削速度変動実験により,SWC 切削現象の発生条件を調査した. 2. SWC 切削法 SWC 切削法とは, 超硬合金など高硬度の工具材料を刃先とする二段すくい角工具を用い, 一次すくい面 ( ネガティブランド ) に安定した構成刃先を生じさせ, かつ積極的に利用する手法である.SWC 切削法の特徴として, 切削後の主切り屑の末端に構成刃先部がひげ状の付随切り屑として発生し, 切削熱を切り屑を介して放熱する作用を持つ. また, 一刃当りの送りを大きくとれることから荒加工での高効率 長寿命工具として広範な分野での運用が期待できる. 過去に旋削工具やフライスカッタ 2) において工具寿命の延伸など優れた効果を持つことが示されている. 3. 実験方法 3.1 切削工具三種類の一次すくい角 -2,-3,-4deg, 二次すくい角 deg, ランド幅.2mm, 逃げ角 15deg の刃先形状を持つ二段すくい角工具を試作した. また, 実験時には φ2mm の二枚刃ホルダにコーテッド超硬チップを一枚取り付け, 一枚刃ラジアスエンドミルとして使用した. Fig.1 の (a) に工具外観,(b) に超硬チップ,(c) に二段すくい角の詳細図を示す. 3.2 実験内容付随切り屑の発生と切削速度の関係を調査するため, 切削速度変動実験を行った. また, 半径方向切り込み量の変化に よる SWC 切削現象の有無についても調査を行った. 被削材として S55C のブロック材 (1mm2mm4mm) を対象にダウンカットによる底面切削を行った. 工作機械にマシニングセンタ V56i( 牧野フライス製作所 ) を使用した. 切り屑の観察に DS-3USV( micro square), 表面粗さ測定にサーフコム 11B( 東京精密 ) を用いた. 切削条件を Table1 に示す. 5.mm (a) Tool holder 2.mm (c) Double rake angle model Fig.1 Detail of cutting tools (b) Throw away tip 2nd rake face 1st rake face Table1 Cutting conditions 1st rake angle l [deg] nd rake angle l[deg] Relief angle l[deg] 15 Feed rate ll[mm/tooth] 1. Cutting speed ll[m/min] Revolution of spindle l[min -1 ] Feed l[mm/min] Axial depth of cut [mm] 1. Radial depth of cut ll[mm] Atmosphere Dry 4. 実験結果及び考察 4.1 半径方向切り込み 8.mm の条件切り屑の拡大写真を Fig.2 に示す. 一次すくい角 -3,-4deg において切削速度 8,1m/min で切り屑終端にひげ状の付随切り屑の発生を確認した. しかし, 一次すくい角 -2deg ではどの条件においても付随切り屑は発生しなかった. また, 全ての条件において切り屑に青色を帯びた部分が確認された. このことから切削時, 刃先が高温であったと判断できる.

24 これは二次すくい角が deg であるため, 一般的な正のすくい角工具に比べ, 切り屑と二次すくい面との間に発生する摩擦抵抗が大きくなったことが原因であると考えられる. 次に, 各条件における付随切り屑の有無と面精度を比較する. 加工面の表面粗さの測定結果を Fig.3 に示す. 一次すくい角 -3deg では, 付随切り屑が発生する条件で面精度が向上している. これは切削速度の増加に伴い面精度が向上するという SWC 切削特有の現象 1) が起きたと考えられる. しかし, 一次すくい角 -4deg では, 付随切り屑が発生する条件であっても面精度は悪化した. この要因には, 一次すくい角が-3deg から-4deg と負の方向に大きくなったことから, 構成刃先の一部が付随切り屑として流出するのではなく, 界面に巻き込まれたことが考えられる. その結果, 一次すくい角 -3deg よりも-4deg の方が, 付随切り屑が短くなったと考えられる. 思われる. 次に, 各条件における付随切り屑の有無と面精度を比較する. 加工面の表面粗さの測定結果を Fig.5 に示す. 一次すくい角 -3,-4deg では切削速度の増加に伴い面精度は向上, または同等の値であった. これは切削速度の増加に伴い面精度が向上するという SWC 切削特有の現象に類似していると思われる. 2.mm V=6 m/min V=8 m/min V=1 m/min (a) First rake angle -2 deg 2.mm V=6 m/min V=8 m/min V=1 m/min (b) First rake angle -3 deg V=6 m/min V=8 m/min V=1 m/min (a) First rake angle -2 deg Roughness Rz [μm] V=6 m/min V=8 m/min V=1 m/min (b) First rake angle -3 deg V=6 m/min V=8 m/min V=1 m/min (c) First rake angle -4 deg Fig.2 Chips (Radial depth of cut=8.mm) deg -3deg -4deg Cutting speed [m/min] Fig.3 Surface roughness (Radial depth of cut=8.mm) 4.2 半径方向切り込み 16.mm の条件切り屑の拡大写真を Fig.4 に示す.4.1 の実験結果と同様に全ての条件において切り屑に青色を帯びた部分が確認された. 一次すくい角 -2deg ではどの条件においても付随切り屑は発生しなかった.4.1 の実験結果でも同様の結果であったことから, 二次すくい角 deg, 一次すくい角 -2deg の二段すくい角工具は,SWC 切削に適していないと考えられる. 一次すくい角 -3,-4deg では全ての条件において, 切り屑終端部に付随切り屑の発生を確認した.4.1 の実験結果における同形状の一次すくい角では, 切削速度 6m/min 時には付随切り屑が発生していないことから, 半径方向切り込み量 8.mm と 16.mm の間に SWC 切削現象が発生する条件の境界があると Roughness Rz [μm] V=6 m/min V=8 m/min V=1 m/min (c) First rake angle -4 deg Fig.4 Chips (Radial depth of cut=16.mm) Cutting speed [m/min] Fig.5 Surface roughness (Radial depth of cut=16.mm) 5. 結論二次すくい角 deg, 三種類の一次すくい角 -2,-3,-4deg を持つ二段すくい角工具を用いて切削速度変動実験を行い, 以下の結論を得た. (1) 半径方向切り込み量 8.mmの条件では, 一次すくい角 -3,-4degの切削速度 8,1m/minにおいてSWC 切削が行われた. (2) 半径方向切り込み量 16.mmの条件では, 一次すくい角 -3,-4degのすべての条件においてSWC 切削が行われた. (3) 一次すくい角 -2degは切削速度, 半径切り込み量の変動に関係なくSWC 切削が行われなかった. [ 参考文献 ] -2deg -3deg -4deg 1) 星光一, 星鐡太郎 : 金属切削技術, 工業調査会,(1981),pp ) 星鐡太郎, 馬場逸雄, 松本匡以 :SWC 切削法の応用による高能率フライスカッタの切削性能, 精密機械,49(7),(1983),pp [ 謝辞 ] 本研究を行うにあたり, 鈴木裕教授, 西日本工業大学の吉丸将史講師に御指導いただきました. 厚く御礼申し上げます.

25 1112 水溶性樹脂を利用した射出成形品の研究 Fundamental experiment on injection moldings utilized by parts with water solubility 学内島槙二 ( 九工大 情報工 ) 正是澤宏之 ( 九工大 情 ), 正楢原弘之 ( 九工大 情 ), 正鈴木裕 ( 九工大 情 ) Shinji Uchijima, Kyushu Institute of Technology, kawazu 68-4, Iizuka, Fukuoka Hiroshi Koresawa, Kyushu Institute of Technology Hiroyuki Narahara, Kyushu Institute of Technology Hiroshi Suzuki, Kyushu Institute of Technology Key Words:Injection Molding,Water Soluble Resin 1. はじめに近年, 金属部品を樹脂に代替して軽量化を図り, 機器を 動かす動力を小さくする省エネルギー化が進んでいる. こ の中には複雑な中空形状を持つ金属部品がある. 樹脂を用 いた中空形状の成形手法には, 空気を吹き込んで成形する ブロー成形法と,2 つの成形品を接合する 2 シェル法があ る. しかし, ブロー成形法は内部が複雑形状であると成形 が困難になり,2 シェル法は成形品に発生する継ぎ目や接 合強度に問題がある. そのため, 複雑な中空形状をもつ金 属部品の樹脂化は困難である. 現在, このような金属部品 はロストコア法と呼ばれる鋳造法で製造される. この方法 は, 中空となる部分に低融点合金の中子を挿入し, 外形成 形後, 炉で中子を溶融させ中空形状の成形品を得る成形法 である. しかし, 射出成形で用いるには, 中子の溶融温度 が高く, 中子除去の際に成形品形状が変化する可能性があ る. そこで, 本研究では中子の材料に水溶性樹脂を使用す る. これは, 加水分解で溶ける特殊な樹脂のため炉で中子 を除去する必要がなく, 複雑な中空形状を持つ成形品の一 体成形が可能となる. 本研究では, 水溶性樹脂の中子を使用した成形品の製作 を最終目的とする. 本報では, 樹脂の溶融傾向を調査する ため設計した実験装置の概要, 実験手順および実験装置の 妥当性評価について報告する. 2. 水溶性樹脂の溶融実験概要 試験片を回転し各部に流速の違いを生じさせ, 流速 V[m/s] あたりの溶融量 M[mm³] を調査する. 試験片は縦 15[mm], 横 1[mm], 厚さ 5[mm] の直方体形状とし, 治具 の円盤に取り付ける. 本実験は以下の 3 つの構成をとる. 1 試験片を水に浸して回転させ, 溶融する. 2 溶融した試験片を取り出し, 厚さ h[mm] を測定する. 3 測定した厚さ h から, 流速 V あたりの溶融量 M を算 出する. 試験片は, 結合部の溶融を避けるため厚さの約半分を水 に浸し, 回転させることで溶融状態を作り出し, 観察する. 溶融状態の試験片は, 溶融開始から 15,3,45,6 分ごと に取り出し, 厚さ h を測定する. 溶融前後の厚さを比較し て厚さの減尐値 i[mm] を得る. 溶融量 M は面積 S[mm²], 高さ i の体積として次のように表す. M = Si (1) 治具の円盤中心から厚さ測定点までの距離を r[mm], 測 定時の回転数を n[rpm] とおき, 測定点における流速 V を次 のように表す. V = (2πnr) / 6 (2) (1),(2) 式から, 流速 V あたりの溶融量 M を導く. なお, 水温は常温とする.Fig.1 に本実験のブロック線図を示す. 構成 1 の試験片溶融については,Fig.1(a) に, 駆動部から試 験片へ伝わる回転数を測定するブロック線図を示す. 回転 数の測定には, 非接触式回転数測定機ポケット回転計 3631( 横河電機製 ) を使用する. 回転部に正方形状 (1[mm] 1[mm]) のスコッチライトテープを貼り, 測定機から照 射するレーザー光の反射回数から回転数を得る. 構成 2 の 厚さ測定については,Fig.1(b) に試験片の厚さ測定のブロッ ク線図を示す. この測定には, 画像データから厚さを測定 できるソフト Motic Images Plus 2.2S を使用する. Digital Tachometer Reading of data Actuator Test Piece (a)block diagram of digital tachometer Test Piece Image Capture Reading of Data (b)block diagram of image capture Fig.1 Diagram of apparatus 3. 試験片回転装置 3.1 装置の外観 Fig.2 に実験装置の外観を示す. 駆動部のギアボックスは, ギアの組み合わせにより回転数を変更する. 駆動力は, かさ歯車を使用して試験片に伝える. かさ歯車とアクリル板の間にはスラスト玉軸受を挟み, 接触面の摩擦抵抗を低減する. アクリル板に取り付けた 3 つのアジャスターによって, 試験片と水面の水平および高さを調整する. 3.2 試験片取り付け部分 Fig.3 に今回設計した実験装置における, 試験片取り付け部分を示す. 試験片は中心を基準として対照に配置する. 水に浸かる結合部は座金と試験片の間にゴム座金を挟み密閉性を上げ, 結合部隙間への水の浸入を防ぐ. 治具の円盤に試験片を取り付け, ボルトとナットで締め付ける.

26 Melted volume [mm³] Thickness[μm] Thickness[μm] Thrust Ball Bearings Acryl Plate Bevel Gears Gear Box A1 3 B1 C1 Adjuster Fig.2 Whole view Time [min] (a) Test Piece 1 Test Piece Nut 4 Washer 35 A2 3 B2 C2 Hexagon Head Bolt Gum Washer Fig.3 Test piece and clamping method 4. 実験 4.1 回転装置の妥当性評価作成した回転装置による溶融量の算出のため, 予備実験として溶融傾向の観察が容易な水溶性物質を試験片に用い, 回転装置の妥当性を評価する. 回転数は 35[rpm] に設定する.Fig.4 には治具の円盤に取り付けた試験片周辺部分を示す. 試験片 1,2 を Fig.4 のように中心を基準として対称に固定する. 中心から 1[mm],15[mm],2[mm] をそれぞれ A,B,C とし, 計 6 点を測定点とする Time [min] (b) Test Piece 2 Fig.5 より算出した溶融量 M と各測定点における流速との関係を Fig.6 に示す.A,B,C の点における流速は (2) 式から.73[m/s],.92[m/s],1.1[m/s] となる. Fig.6 より試験片 1,2 は流速の上昇とともに溶融量の増加が確認される. 5 4 Fig.5 Decrement of thickness Test Piece 1 Test Piece Test Piece 1 Test Piece A B C Point of measurement C1 B1 A1 A2 B2 C2 Fig.4 Point of measurement [mm] 4.2 実験結果水溶性物質の経過時間あたりの厚さの変化を Fig.5 に示す. Fig.5(a) は試験片 1 の,Fig.5(b) は試験片 2 の各測定点における結果である. 時間の経過にともない試験片厚さの減尐が確認できる. しかし,Fig.5(a) において A1 と B1 は 15,3 分経過時に厚さの増加がみられた. これは物質が水分を含み膨張したと考えられ, 厚さ測定の際は一度試験片を乾燥する必要がある. Fig.5 より厚さの減尐から各測定点における溶融量 M を (1) 式より算出する. なお, 面積 S は Fig.4 のように, 測定点を基準とした ±.5[mm] 幅の領域とする. ただし, 本実験では試験片が回転するためその両端の形状は円弧状をとなる. 計算の結果から, 各測定点における面積は S 1.6[mm²] とする. Fig.6 Melted volume and Point of measurement 5. おわりに本実験より, 回転装置を用いて水溶性物質の異なる流速に対する溶融量が算出できた. 設計した回転装置の妥当性を確認した. 今後は実際に水溶性樹脂を用いて溶融実験を行い, 流速あたりの溶融量を求める. その値から中空部をもつ成形品の形状を検討する. その後水溶性樹脂を中子にした中空形状の成形品を射出成形する予定である. [ 謝辞 ] 本研究を行うにあたり, 九州工業大学是澤宏之助教, 楢原弘之教授, 鈴木裕教授にご指導いただきました. 厚くお礼申しあげます

27 1113 三次元レーザスキャナにおける計測誤差の空間分布の測定及び評価 Measurement and evaluation of space distribution of a measurement error by a noncontacting-type coordinate measuring machine. 学吉田悠 ( 九工大 情報工 ) [ 指導教官 ] 正楢原弘之 ( 九工大 ), 正鈴木裕 ( 九工大 ), 正是澤宏之 ( 九工大 ) Yu YOSHIDA, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 68-4, Iizuka-city, Fukuoka Key Words : CMM, Measurement Error 1. 緒論近年, 三次元測定機は, 超精密分野での計測のみならず三次元モデルの寸法といった CAD 入力データの取り込み ( リバース エンジニアリング ), 人体計測など多方面において必要される技術となっている 1). 三次元測定機とは, プローブと呼ばれる検出器を用いて三次元の座標データを検出する装置である. プローブには測定対象に直接触れて測定する接触式と, レーザスキャンや X 線 CT スキャナなどを用いて測定する非接触式の二種類がある. 非接触式での測定では, 三次元の座標データを短時間で取得出来るため, 寸法検査などのリードタイムの短縮が可能である. また, 接触式では測定不可能な複雑形状のモデルを膨大な量の点群データから三次元にモデル化できる. しかし, 非接触式の測定は接触式と比較して測定精度が低いため, 点群データから三次元モデルを構築する際に精度の低いものになる. そこで, 高精度の三次元モデルを構築する手法として, 点群データに誤差の空間分布データを付与することで重みを考慮した最小二乗法による三次元モデルの構築を提案する. 従来の点群データは座標データのみのデータとなっている. そのため, 最小二乗法を用いて三次元モデル化を行う際に, 点群データの重みが考慮されていない. そこで, 点群データに計測誤差の空間分布データを付与することで, 点群データの正確さを数値化し, 最小二乗法で重みを考慮した高精度な三次元モデルの構築が可能となると考えられる. 本研究では, 非接触測定による高精度な三次元モデルの構築を目的として, 本報では, 非接触測定による計測誤差の空間分布とその傾向を調査したので報告する. 次に, 揺動ミラーを回転させることでレーザ光を走査させるので, そのときの揺動ミラーの回転角 θ から (2) 式を用いて x,z 座標を計算する. Measuring Object y z L d x L cos z Lsin Laser Diode f Imaging Lens t Line CCD Oscillation mirror 3. 実験装置及び測定方法本研究に使用する三次元測定機 FALCIO Apex 716 (Mitutoyo 社製 ) を Fig.2 に示す.Fig.3(a) に接触測定のタッチプローブ TP2(RENISHAW 社製 ), Fig.3(b) に非接触式測定のレーザプローブ TDS1622-PH(PULSTEC 社製 ) を示す. 測定では測定機自身の縦, 横, 高さの 3 軸とプローブの回転軸 2 軸の計 5 軸を用いて座標データをとる. 測定対象には, レーザ光の乱反射による誤差の影響が少ないアルミナ質角板 SSA-S ( [mm] ) を使用する. z y x Fig.1 Measurement Principle L θ (2) Laser Diode Measuring Object 2. レーザスキャナの測定原理本研究で用いるレーザスキャナの測定原理の概要図を Fig.1 に示す. レーザダイオードから測定対象にレーザ光を照射し, 測定物表面で散乱した光を結像レンズによりライン CCD 上に結像させ, 距離情報を検出する仕組みとなっている. 距離情報については, レーザ光の入出力間の距離を d, 対物レンズとライン CCD 間の距離を f, ライン CCD 上の距離を t として, 三角測量原理 2) で (1) 式より, 測定対象までの距離 L を計算する. d f L t (1) Fig.2 Coordinate Measuring Machine (a) Touch Probe (b) Laser Probe Fig.3 Probe

28 Measurement Error[mm] Standard Deviation[mm] Measurement Error[mm] Standard Deviation[mm] 4. 実験方法計測誤差の空間分布の傾向を調査するために, レーザ光照射高さとレーザ光走査位置についての測定実験を行い, 計測誤差とその標準偏差を調べる. 計測誤差については, タッチプローブを用いて測定対象の 1 点を測定し, その z 座標とレーザスキャナで測定した z 座標の差をレーザスキャナの計測誤差とする. また, 標準偏差では誤差の空間分布を見るために, レーザスキャナで 2 回測定を行い, 誤差のばらつきを見る. レーザスキャナの測定条件を Table1 に示す. 実験は 2[ ] の環境下で行う. Width[mm] Pitch[mm] Scan Interval[mm] 実験 1 レーザ光照射高さによる誤差の空間分布レーザ光照射高さによる誤差の空間分布の傾向を調査するために, レーザプローブの高さを変えて測定を行う. 測定位置は Fig.4 に示すように, レーザ光の測定範囲の中心である H=19[mm] を基準の高さ h=[mm] として, そこから -25 h 25[mm] の範囲を 5[mm] 間隔で 11 点測定を行い, 誤差とその標準偏差の調査を行う. 4.2 実験 2 レーザ光走査位置による誤差の空間分布測定対象にレーザ光を走査させる際, 揺動ミラーを回転させてレーザ光を走査させる. このときのレーザ光の走査位置による誤差の空間分布の傾向を調査するために, レーザプローブの高さを h=[mm] で固定してレーザ光を走査させて測定を行う. レーザ光の走査範囲は Fig.5 に示すように,y = 75[mm] の位置で,65 x 85[mm] の範囲をレーザ光で走査させ,5[mm] 間隔で誤差とその標準偏差の調査を行う. h=-25 h= h=25 Table1 Condition for Determination Laser Probe H=19 h [mm] Measuring Range H=19 y x Measuring Object 5. 実験結果及び考察 5.1 実験 1 の結果及び考察実験 1 の計測誤差の結果を Fig.6(a), 計測誤差の標準偏差を Fig.6(b) に示す. Fig.6 より, レーザ光照射高さを変化させると, 測定誤差と標準偏差の値に変化が生じることを確認した. また, レーザ光照射高さの増加に伴い, 標準偏差の値も増加する傾向を示している. これはレーザプローブが測定対象から遠ざかると, 測定誤差のばらつきが大きくなり, 測定精度が低下することを示している. レーザ光照射高さによる計測誤差の標準偏差は h=-25[mm] のときに最小値の.4[mm],h=25[mm] のときに最大値の.1[mm] を示した. 5.2 実験 2 の結果及び考察実験 2 の計測誤差の結果を Fig.7(a), 計測誤差の標準偏差を Fig.7(b) に示す. 実験結果より, レーザ光の走査位置によって誤差とその標準偏差の値に変化が生じることを確認した. 標準偏差については, レーザ光がプローブの真下である x = 75[mm] のときにばらつきが小さくなり, レーザ光 z Fig.4 Experiment 1 Fig.5 Experiment 2 75 を端の方へ走査するほどばらつきが大きくなる傾向を示した. このばらつきの発生要因として揺動ミラーの回転角 θ の影響が考えられる.z 方向の計測誤差を ε, 回転角の微小なズレを Δθ とすると,(1) 式より, また,Δθ のとき, 近似式を用いて Lcos (4) と表される.(4) 式より, レーザスキャナの真下である x=75[mm] を中心にして, 回転角 θ が増加 減少するほど計測誤差 ε の変動も大きくなるため,Fig.7(b) のような結果が得られたと考えられる 結論今回, 三次元レーザスキャナにおける計測誤差の空間分布の傾向について調査を行い, 以下の結論を得た. レーザ光照射高さを変えて測定した結果, レーザプローブの位置が高くなると, 計測誤差のばらつきが大きくなる傾向を確認した. レーザ光走査位置ごとに測定した結果, 揺動ミラーの回転角 θ が変化するほど計測誤差のばらつきが大きくなる傾向を確認した. 7. 今後の展望本実験ではレーザスキャナの計測誤差の空間分布についての調査を行った. 今後はこれらのデータをもとに, 点群データに誤差の空間分布データを付与し, 高精度な三次元モデルの構築をする必要がある. 参考文献 1) 小林昭, 超精密生産技術体系第 3 巻 (1995),pp ) 江尻正員, 画像処理産業応用総覧 上巻 - 基礎 システム 技術論 -,pp z Lsin( ) h [mm] (a) Measurement Error x[mm] (a) Measurement Error Fig.6 Result of Experiment h [mm] (b) Standard Deviation Fig.7 Result of Experiment x[mm] (b) Standard Deviation 謝辞本研究を行うにあたり, 適切なご指導, 助言を与えてくださった万欣氏をはじめとする研究室の諸氏に対し, ここに厚く御礼申し上げます. (3)

29 1114 レーザ誘導方式深穴形状精度測定システムの開発 機械的アクチュエータの作動特性 Development of a Laser-Guided Deep-Hole Measurement System Characteristic of a Mechanical Actuator 学中山裕隆 ( 九州大 ) MD.Hazrat ALI( 九州大 ) Hirotaka NAKAYAMA, Kyushu University, 744 Motooka, Nishi-ku, Fukuoka MD.Hazrat ALI, Kyushu University Key Words : deep-hole, measurement, accuracy,laser application 1. 緒言 穴深さ / 穴径 (L/D) 比が特に大きい穴形状の測定は極めて 困難である. この深穴を精度良く測定する目的でレーザ誘 導方式深穴形状精度測定システムの開発を行っている. これまでの研究ではシステムの姿勢制御に圧電アクチュ エータを用いていたが, 許容負荷が小さい, サポーティン グパッドのストロークが小さい, ヒステリシスがある, 高 価である等の問題があった 1) 2). 本研究では, 新しく, 機械的に稼動するアクチュエータ を開発し, その性能を調べ, 最適な作動条件を確立した. 2. 実験装置測定システムを図 1, 機械的アクチュエータを図 2, 実験装置を図 3 に示す. 図 2 において, ステッピングモータ 3 が稼動し, ベルトを介してウォーム 2 が回転する. それに伴いウォームギアが回転することにより, サポーティングシャフト 1 が上下し, 測定ユニットの姿勢を制御する. 本実験では, このサポーティングシャフトの動きを力や速度との関連で調べた. 圧電アクチュエータにおけるストロークは.35mm, 許容負荷は 16N である. 応答速度は極めて大きい 3). Piezoelectric actuator 3. 実験方法サポーティングシャフトに錘を用いて負荷 F をかけ, その後, ステッピングモータを作動し, 稼働状況を観察しながら, レーザ測長器を用いてサポーティングシャフトの上昇 下降量を測定した. 測定項目は下記の 2 種類である. 3.1 最適速度力 F を 5N に固定し, ステッピングモータの上昇速度を.16mm/s から.8mm/s まで.16mm/s おきに変化させ, 上昇 下降の様子を調べた. 3.2 許容負荷実験 3.1 において, 安定していた速度に設定し, 力を N から 5N おきに 2N まで増やし, 各負荷に対する応答を調べた. また, それぞれの実験におけるステッピングモータの回転数は, 機械的アクチュエータのストローク量である,5mm だけ上昇 下降するように設定している. F Piezoelectric actuator Measurement unit Fig.1 Measurement system 1Supporting shaft 2Worm 3Stepping motor Fig.2 Mechanical actuator Fig.3 Experimental apparatus 4. 実験結果および考察 4.1 最適速度サポーティングシャフトの各時間における, 上昇 下降量を図 4に示す. 各速度において, 垂直方向にどの程度, 変位したか, 上昇 下降を比較して変化がないかを調べる. 1).16mm/s,.32mm/s 低速度では 5mm に到達するまで 18s から 36s もの時間がかかり, 上昇 下降時の稼動状況を比較すると, 速度のばらつきが見られた. 2).48mm/s,.64mm/s 測定するにあたって, 特に問題のない速度であり, 上昇

30 下降での速度の変化がほとんど見られず, 安定していた. 3).8mm/s 5mm に到達するまで 1 分弱と極めて大きな速度での, 稼動が可能だが, 速度のばらつきが見られた. いずれの場合においても, 圧電アクチュエータと比較して 3), ヒステリシスが見られない.( 図 5) 速度が不安定になるのは, ステッピングモータとウォームを介するベルトのスリップやサポーティングシャフトの歩行現象によるものである. また,.8mm/s 以上の速度でも実験を行った. しかし, パルス周波数が大きすぎることによる, 周期外れが起こり, 適正な制御が行われず, ステッピングモータが稼働しなかった. 4.2 許容負荷実験 3.1 で速度が安定している.48mm/s と.64mm/s に設定し, 各力 F における応答を調べた. 各力における, サポーティングシャフトの上昇量を図 6 に示す. いずれの速度においても若干の上昇量の誤差はあるが, 力 2N においても問題なく稼動する.2N 以上の負荷も可能である. 5. 結言本研究では測定プローブの姿勢を制御するための機械的アクチュエータを製作し, その性能を調べた. その結果, 本アクチュエータは圧電アクチュエータと比較し, 次の利点があることがわかった. 1) ストロークが大きい. 2) 許容負荷が大きい. 3) ヒステリシスがない. 4) 安価である. また, 作動速度に関しては, 安定していながらも, 効率よく測定を行える,.64mm/s の場合が最も適した速度と言える. 一方, 圧電アクチュエータは応答速度が大きいという利 点がある. プローブの応答速度の大きい制御が必要な場合, 有効である. これからは, 実際の測定システムに組み込んだ上での性 能を調べ, 有効な制御方法を確立し, より高い測定精度を 追求する必要がある. Fig.4 Relationship between lifting displacement and time Fig.5 Hysteresis of piezoelectric actuator 文献 1) 岩元, 佐藤,IFMA Alexandre Berjaud,IIUM MD. Hazrat Ali, 熊本地方講演会学生研究発表会講演論文集 (21),199. 2) 甲木, 鬼鞍, 佐島, 村上,21 年度精密工学会春季大 会学術講演会講演論文集 (21),121. 3) A.Katsuki et al,precision Engineering 35 (211),221. 謝辞本研究を行うにあたり, 甲木昭雄先生, 土肥俊郎先生にご指導いただきました. 厚くお礼申し上げます. Fig.6 Relationship between lifting displacement and force

31 Surface roughness Ra [μm] 1115 アルミニウム合金の恒温鍛造時における, 表面処理と潤滑剤が金型摩耗に与える影響 Effect of surface treatment and lubricants on die wear in isothermal forging for aluminum alloy. 学森田専 ( 九工大 ) 中村克昭 ( 九工大 ) 正是澤宏之 ( 九工大 ) 正楢原弘之 ( 九工大 ) 正鈴木裕 ( 九工大 ) Atsushi MORITA, Kyushu Institute of Technology, kawazu 68-4,Iizuka-shi,Fukuoka Katsuaki NAKAMURA, Kyushu Institute of Technology, kawazu 68-4,Iizuka-shi,Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 68-4,Iizuka-shi,Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 68-4,Iizuka-shi,Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 68-4,Iizuka-shi,Fukuoka Key Words : aluminum alloy, die wear, isothermal forging, surface treatment, lubricant 1. 緒論近年, 地球温暖化や化石燃料の枯渇といった地球環境問題を背景に, 産業界では輸送機器部品の軽量化が求められており, 材料として軽量かつリサイクル性の高いアルミニウム合金の採用が拡大している. アルミニウム合金の加工法として, 成形品の高強度化, 高品質化の両立が可能な鍛造法が注目されている. 中でも金型と材料を同一温度まで加熱し, 成形を行う恒温鍛造法が検討されている. 恒温鍛造法では金型による材料の冷却がないため, 成形中の材料の変形抵抗の増加を防ぐことができ, 大変形を伴う複雑形状の成形が可能である. また成形中に材料が収縮しないため金型からの取り出し性が向上し, 抜き勾配を低減できる. これにより後加工を削減することができる. 一方で, 大変形を伴う成形時には材料の新生面が露出し, 金型に凝着することで摩耗が発生するという問題がある. 本研究では アルミニウム合金 A663 を対象とした恒温鍛造時に問題となる凝着や金型摩耗に対して, 潤滑剤および表面処理が与える影響について調査する. 2. リング圧縮試験 リング圧縮試験とは, 鍛造時の摩擦係数の測定に用いられる試験方法である. リング状の試験片を平行平面工具間で圧縮し, 圧縮後の試験片の内径の変化率と高さの変化率を較正曲線 1) にプロットすることで, 摩擦係数を求める. 3. 実験方法 実験装置には最大出力 2kN の油圧プレスを用いた. 金型には加熱装置を取り付け, 金型の温度管理を可能にした. 実験条件を Table 1 に示す. 金型材料は SKD61 を用い, 表面処理として PVD によって施した DLC,CrN,TiCN,TiAlN と CVD によって施した TiCN を用意した. また比較のために表面処理を施していない金型も用いた. 試験片材料はアルミニウム合金中の添加元素が尐なく, 焼付きの問題を生じやすい A663 を用い, 外径 18mm, 内径 9mm, 高さ 6mm のリング状に加工した. また試験片の両面は #15 の湿式研磨で仕上げて統一した. 潤滑剤は DLC を施した金型では液体潤滑剤 ( 以下 LL=Liquid Lubricant) と黒鉛系潤滑剤 ( 以下 CL=Carbon Lubricant) の 2 種類を使用し比較した. その他の金型では CL のみを使用した. 実験前の金型表面の算術平均粗さを Fig.1 に示す.CrN-CL と TiCN(CVD)-CL の表面粗さが他の表面処理と比べて大幅に悪化した. 実験手順は金型を 473[K] まで加熱した後, 潤滑剤を均一に塗布し, 試験片を設置した. 試験片と金型を同一温度にするため, 設置後 12[sec] 以上経過してから実験を行った. 実験概要図を Fig.2 に示す. Specimen material Die material Surface treatment Compressibility[%] Lubricant Die temp.[k] Specimen temp.[k] SKD61 -CL Table 1 Ring Compression test conditions DLC -CL DLC (PVD) Liquid lubricant (Lubricant for magnesium worm foring) DLC -LL A663 SKD61 CrN TiCN TiCN TiAlN (PVD) (CVD) (PVD) (PVD) CrN -CL 6 Carbon lubricant (Solid lubricant) 473 TiCN(CVD) -CL Die material Fig.1 Surface roughness before the experiments Ring specimen Heating Die Die Fig.2 Experiment summary Figure TiCN(PVD) -CL 4. 実験結果 4.1 摩擦係数較正曲線から求めた各実験条件の摩擦係数を Fig.3 に示す. 摩擦係数は DLC-CL でμ=.5 と最も低い値を示し, CrN-CL でμ=.2 と最も高い値を示した. 実験前の表面粗さが悪い CrN-CL と TiCN(CVD)-CL を比較すると,CrN-CL では摩擦係数が高い値を示したが,TiCN(CVD)-CL では大幅に低く,DLC と同程度の値を示した.TiCN 同士を比較すると, 表面粗さ大である CVD で摩擦係数が低くなった. TiAlN -CL

32 Conficient of friction μ Surface roughness Ra [um] Surface roughness Ra Surface roughness Ra 4.2 金型表面状態実験後の金型表面とその拡大写真を Table 2 に示す. TiCN(CVD)-CL 以外の全ての金型表面で試験片の外周を転写した円形の凝着が見られた.Table 2 の内周部 A と外周部 B を比較すると全ての金型で B の方が凝着が多かった. 凝着の程度は TiCN(CVD)-CL と DLC で尐なかった. 実験前の表面粗さ大である CrN-CL と TiCN(CVD)-CL を比較すると,CrN-CL では筋状の凝着が見られたが,TiCN(CVD)-CL では凝着はほとんど見られなかった. TiCN 同士を比較すると, 実験前の表面粗さ大である CVD で凝着が尐なく, 表面粗さ小である PVD で凝着が多かった. 5. 考察 CrN-CL と TiCN(CVD)-CL を比較すると,CrN-CL は凝着量が多く,TiCN(CVD)-CL の方が凝着量が尐なかった. これは金型表面の凹凸形状の差によるものと考えられる. Fig.4 に CrN-CL,TiCN(CVD,PVD) の実験前の金型表面のプロフィール示す. CrN-CL では表面処理を施す際にスパークが発生し, 金型表面に尖った凹凸が現れた. その凹凸部が起点となり, 材料の移動に伴って筋状の凝着が発生したと考えられる. TiCN(CVD)-CL では表面処理温度が高いために結晶粒が粗大化し, 表面に滑らかな凹凸が発生したと考えられる. そのため凹凸内に潤滑剤が溜まり, 潤滑性能が向上したと考えられる. また TiCN 同士を比較すると凹凸の尐ない PVD と比べて, 滑らかな凹凸を持つ CVD の方が実験後に良好な面を保ったことから, 金型表面に予め滑らかな凹凸を施すことで潤滑性能が向上する可能性がある. 6. 結論本稿では, アルミニウム合金 A663 を対象とし, 恒温鍛造と同条件でリング圧縮試験を行い, 摩耗形態を観察した結果以下の結論を得た 1) 今回の実験結果では DLC と TiCN(CVD)-CL で凝着量が尐なく, 良好な面を保った. 2) 摩擦係数は DLC-CL で最も小さくなり, 表面粗さの悪い CrN-CL で最も大きくなった. 3) TiCN 同士では凹凸のあった CVD で凝着が尐なかったため, 金型表面に予め滑らかな凹凸を施すことが有効であると考えられる. SKD61 -CL DLC -CL DLC -LL 参考文献 1) A.T.Male,M.G.Cockroft, A Method for the Determination of the Coefficient of Friction of Metals under Conditions of Bulk Plastic Deformation, J.Inst.Metals, 93(1964), pp38-46 謝辞 本研究を行うにあたり, 中村克昭特任教授, 是澤宏之助教, 楢原 弘之教授, 鈴木裕教授, ナイス ( 株 ) 様に御指導頂きました, 厚く お礼申し上げます CrN -CL TiCN(CVD) -CL Die material Fig.3 Coefficient of friction TiCN(PVD) -CL TiAlN -CL SKD61 -CL DLC-LL CrN-CL DLC-CL TiCN (CVD) -CL TiCN (PVD) -CL TiAlN (PVD) -CL [ μm ] 2. Table 2 Die surface condition Die surface 1mm 1mm 1mm 1mm 1mm 1mm 1mm Die surface at A 1μm 1μm 1μm 1μm 1μm 1μm 1μm (a) CrN-CL Surface roughness Die surface at B Adhesion streak Adhesion streak 1μm 1μm 1μm 1μm 1μm Adhesion 1μm Adhesion 1μm [ μm ] 実験前 Length[mm] [mm] Length[mm] [ μm ] (b) TiCN(CVD)-CL Surface roughness Length[mm] [mm] 4. (c) TiCN(PVD)-CL Surface roughness Fig.4 Coefficient of friction

33 1116 FDM に用いる導電性樹脂の機械的物性の向上 Improvement in the mechanical properties of the conductive resin used for FDM 学小林祐也 ( 九工大 情報工 ) 指導教員 正鈴木裕 ( 九工大 情 ) 正楢原弘之 ( 九工大 情 ) 正是澤宏之 ( 九工大 情 ) Yuya KOBAYASHI, Kyushu Institute of Technology, kawazu 68-4, Iizuka-shi, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 68-4, Iizuka-shi, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 68-4, Iizuka-shi, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 68-4, Iizuka-shi, Fukuoka Key Words : fused deposition modeling, quality engineering 1. 緒論 FDM(Fused Deposition Modeling) は,Fig.1 に示すようにワイヤ状の材料を加熱したヘッドから吐出し, 造形ステージ上で堆積と固化を繰り返すことで 3 次元造形物を作製する手法である. この手法は, 金型や切削工具を用いずに直接造形するため, 試作品造形に必要な時間を短縮することが可能であり, 造形物は形状確認や組付け確認等に用いられている. 現在,FDM で造形可能な材料は, 熱可塑性樹脂に限られている. そこで, FDM で熱可塑性樹脂に加え, 導電性材料を用いた造形が可能となれば, プラスチック部品と立体的な導電性材料の一体造形ができ, 導電性材料の部分が電気回路へ応用できると考える. 本研究では,FDM を用いることで ABS 樹脂と導電性材料の 2 種類の材料を吐出し, 立体回路等の 3 次元機能構造体を造形することを目的とする. 本研究室では, 導電性材料を作製する研究を行っている. これまで, 熱可塑性樹脂と金属材料を加熱しながら混練して導電性材料を作製した. しかし, 加熱条件によって樹脂と金属粉末の分離が起こり, 抵抗値が大きくなる問題がある. そのため, 本報では, アセトンで溶解した ABS 樹脂に金属材料を混合し, 導電性材料を作製する. 作製した導電性材料の機械的物性を調査し, 品質工学を用いて最適な導電性材料の作製条件を調査する. Pulley Material Heater Fig.1 FDM process 2. 品質工学の概要品質工学は, 設計者が設計することのできない様々なバラツキによる影響の強さを合理的に評価し, 安定性に優れた最良条件を選択する手法である. 品質工学を用いることにより, 開発期間の短縮, 開発コストの低減, 設計変更回数の低減を行うことができる. そのため, 本研究では, 電気抵抗率が低い導電性材料を開発するために品質工学を用いた. 3. 導電性樹脂の作製 3.1 使用材料今回, 使用した材料は, FDM 造形機 Dimension768 (Stratasys 社製 ) において使用されているモデル材の樹脂及びサポート材の樹脂を用いる. また, はんだ粉末とはんだペーストは ( マクセル北陸精器社製 ) を用い, 銅粉末は FCC-115A( 福田金属箔工業製 ) を用いる. 3.2 混合材料の作製方法樹脂をアセトンで溶解し, 金属材料を混合する. 混合には遠心撹拌機 AR-25( シンキー社製 ) を用いて, 撹拌, 脱泡を行い, 混合材料を作製する. また, 混合材料に含まれるアセトンは乾燥させ, 蒸発させる. 4. 導電性材料のパラメータ設計 4.1 制御因子制御因子とは, 設計者が自由に設定できるパラメータである. 本研究では, 材料の種類, 作製方法, 材料の混合比によりパラメータを設定する.Table1 に制御因子と水準値を示し, 制御因子を割りつけた L18 直交表を Table2 に示す. Table1 Control Factors A B C Control Factors Resin Ratio of resin[vol%] Metal material Level Model material Support material Solder powder Solder paste Solder powder& Copper powder D Churning time[min] E F Bubble removed time[min] Fluid volume of a solvent Small quantity Medium quantity Large quantity G Drying time Short Medium Long Table2 L18 Orthogonal Table No A B C D E F G

34 Signal to Noise Ratio[db] 4.2 評価方法検討した導電性材料の作製条件について,SN 比と利得を用いて評価を行う.SN 比とは, 機能の安定性を表す尺度であり, SN 比が大きいほどノイズの影響を受けにくい条件である. 利得とは, 最適条件と比較条件の SN 比の差である. これは, 比較条件に比べて最適条件がどのくらい改善されたかを示す. また, 実験と確認実験より得られたそれぞれの利得を比較することにより, 利得の再現性の確認を行い, パラメータ設計が上手くいったかどうかを判断する.SN 比と利得の算出式をそれぞれ式 (1),(2) に示す. 今回, 電気抵抗率は低いことが望まれるので, 望小特性 1) として SN 比を求める. 各記号は,S T : データがもつ全エネルギー,n:S T の自由度,Δη op : 最適条件の SN 比, Δη co : 比較条件の SN 比を示す. SN 比 η 1log (1) n 利得 Δη OP CO (2) 今回使用した望小特性は, 特性値ゼロが理想である. このときデータがもつ全エネルギー S T は, ゼロからずれているエネルギーであるから, 有害エネルギーそのものである そのため, 式 (1) を用いて求めた SN 比が高い条件ほど理想の特性値に近づき, またバラツキの尐ない条件を得ることができる. 5. 実験方法 5.1 実験内容 FDM での吐出後の様子を想定し, 加熱した絶縁体のシート上に混合材料を直線状に 1 層分堆積させる. 堆積させた混合材料の電気抵抗値を測定することで導電性を評価する. 5.2 導電性の評価方法加熱後の混合材料の電気抵抗値をマルチメータ VOAC7413 ( 岩通計測株式会社製 ) を用いて 1 回ずつ測定し, その平均値と式 (3) より電気抵抗率を算出する. ここで, 電気抵抗値は R [Ω], 電気抵抗率は ρ[ω m], 導体の長さは L [m], 導体の断面積は A [m 2 ] を表している. L R (3) A 6. 実験結果及び考察 6.1 測定結果直交表に割りつけた条件で作製した各試験の電気抵抗率の測定結果を Table3 に示す. Table3 よりはんだ粉末と銅粉末を混合した材料が, 高い電気抵抗率を示す傾向となった. これは, 銅粉末が加熱し堆積させた際に酸化したために, 電気抵抗率が上がったのではないかと考える. Table3 Electric Resistivity Electric Resistivity [Ω m1-5 ] Electric Resistivity [Ω m1-5 ] S T 6.2 要因効果図式 (1) を用いて求めた各制御因子について水準間 SN 比の傾向を示した要因効果図を Fig.2 に示す. 今回の SN 比の総平均は,76.9[db] であった A1A2 B1B2B3 C1C2C3 D1D2D3 E1E2E3 F1F2F3 G1G2G3 Factors Fig.2 Graphs of Factorial Effects 6.3 利得の推定と確認実験各因子で SN 比が高い値を取る水準が最適な条件として得られる.Fig.2 より, 最適条件は,A1,B1,C1,D1,E3,F2, G2 となった. また, 総平均に近い水準を比較条件とした. その結果, 比較条件は A1,B2,C2,D3,E1,F3,G3 となった. 利得の推定を行った結果, 最適条件と比較条件の SN 比はそれぞれ 86.1[db],77.6[db] となり, 利得の推定値は 8.5 [db] となった. また, 実験結果の再現性を確認するために, 最適条件と比較条件の確認実験を行った. 確認実験の結果を Table4 に示す. 確認実験の最適条件と比較条件の SN 比はそれぞれ 85.8[db], 78.2[db] となり, 確認実験においての利得は 7.6[db] となった. 推定値の利得と確認実験における利得の差は.9[db] となり, 再現性を得ることができた. Table4 Electric Resistivity Electric Resistivity [Ω m1-5 ] Electric Resistivity [Ω m1-5 ] Optimal Comparison condition condition 確認実験でも試験片を 2 つ作製し, 電気抵抗率を調査した. 確認実験の最適条件より, 低い電気抵抗率で 3.83[Ω m1-5 ] という結果を得ることができ,2 つの試験片とも低い電気抵抗率を示す傾向となった. 7. 結論本報では,ABS 樹脂をアセトンで溶解し, 溶解した材料に金属材料を混合することにより導電性材料を作製し, 品質工学を用いて最適な条件を検討し, 以下の結論を得た. 要因効果図より, 導電性材料の電気抵抗率においての最適条件は A1,B1,C1,D1,E3,F2,G2 であった. 推定値の利得と確認実験における利得の差は.9[db] となり, 再現性を得ることができた. 今回最適条件の低い電気抵抗率で 3.83[Ω m1-5 ] となった. 参考文献 1) 井上清和, 中野惠司, 林裕人, 芝野広志, 大場章司 入門パラメータ設計, 日科技連 (28)

35 1117 高品位溝加工を実現するためのマイクロエンドミルの形状に関する研究 Studies on the shape of the micro end mill to achieve high quality groove machining 学過能健太 ( 九大 ) 正大西修 ( 九大 ) 正土肥俊郎 ( 九大 ) 正黒河周平 ( 九大 ) Kenta KANO, Kyushu University, 744, motooka, nishi-ku, Fukuoka-city Osamu OHNISHI, Toshiro DOI and Syuhei KUROKAWA, Kyushu University Key Words : machining, milling, micro tool, micro endmill, rake angle, roughness, burr 1. 緒言近年, 医療産業などの分野の急速な発展に伴って, それらの機械に用いられる部品のマイクロ加工技術に関して活発な研究が行われている. その中でもドリルやエンドミルなどを用いたマイクロ切削加工は, 従来のリソグラフィ技術や放電加工に比べて製作コストや能率などの面で優れているということで注目を集めている. マイクロ切削加工には微小機械構造を製作するために種々のマイクロ工具が必要となるが, 工具をいかに高精度に形成するかによって加工精度は大きな影響を受ける. 本研究は, 製作したマイクロエンドミルで高精度 高能率な加工を実現することを目的としたものである. 本報では, 工具側刃のすくい角, 逃げ角, すかし角を変化させた直径 1μm の 2 枚刃直刃エンドミルと市販のエンドミルを参考にしたエンドミルを製作し, それを用いた溝加工を行い, その加工特性を調査した. その際, 送り速度 F, 超音波振動の有無の条件の違いによる加工特性の影響について調査した. 2. 実験装置および実験方法実験は, マイクロエンドミルの製作とそれを用いた溝加工の 2 つにわかれる. 工具の製作は,WC 粒径 9nm の超々微粒子超硬合金の母材を研削加工によって製作する. 製作手順は, マシニングセンタ (MC) 上に設置したサブスピンドルに工具母材を取り付け,MC 主軸にダイヤモンド研削ホイールを取り付ける. その後, すかし角面, 工具円筒面, 溝面の順に加工する. 溝面の製作の際に切り込み量とサブスピンドル回転位置を設定することで各種先端形状を得る. 製作した工具 1~5, 比較に用いた工具 6~9を表 1に示す. また製作したエンドミルの軸方向写真を図 1に示す. 工具 5は市販品を参考に側刃のねじれ角すくい角を可能な範囲で再現したため, 非常に脆い形状となった. 溝加工実験では, 製作したマイクロエンドミルを MC 主軸に取り付け, 超音波振動台に取り付けたアルミニウム合金の工作物に溝加工を行った. 送り速度, 超音波振動の有無を変化させて切削を行い, バリ高さや溝の形状, 溝底面の表面粗さを観察した. 実験条件を表 2に示す. Table1 Experimental condition for grooving test Rake angle Relief angle End cutting Cutting Diameter Number of edge edge length Tool cutting [deg] [deg] concavity [μm] [μm] edge angle [deg] μm -3 2μm (a) Tool 1 (b) Tool 2 (c) Tool 3 (e) 2μm 2μm 2μm 2μm 2μm (d) Tool 4 Tool 5 Fig. 1 Shape of 2-blade micro endmills

36 3. 実験結果 考察 3.1 製作したエンドミル今回 5 本のエンドミルを製作した.Tool5のエンドミルについて, 切れ刃が非常に薄く, 正確な平行四辺形の形にできなかった. また, 全体的に, 切れ刃のエッジが上手く出なかった. これは, ダイヤモン研削ホイールの丸みや, 工具の直径の誤差, 切り込み量などが影響していると考える. 3.2 加工溝の状態溝加工した工作物を外し, 超音波洗浄を行った後に SEM で観察した. 切削した溝を観察すると,Tool2と Tool3において, 溝の内側にみぞ段ができていた ( 図 2). 加工後の工具を観察したが, 切れ刃の欠損や摩耗は確認できなかった. このため, 工具の振れにより, 溝に段ができたと考える. MC 主軸に振れ調整器を用いて, 取り付けるため, 工具装着時の振れは ~.5µm に抑えられるため, この振れは工具製作の際, サブスピンドルに取り付けた時に発生したと考えられる. バリ生成の様子を比較すると, 超音波振動を付加した場合のほうが, バリ少なかった ( 図 3). 超音波振動を付加すると傾斜切削が行われ加工性能と切りくずの排出性の向上が見込まれると言われているが, 今回の加工でもその効果によるものと考える. 今回の実験では, 工具進行方向右側に帯び状のバリが集中していた. これは切れ刃による切削が行われず, 材料を押しのける塑性加工に近い挙動を示す現象と思われる 1) 2). 送り速度 F の違いによるバリ生成の違いについて, 特にすくい角 γ が-3, 逃げ角 45, すかし角 1,Tool3 でバリが送り速度が大きくなるにつれ特に大きくなった. また,Tool1の工具が他の工具に比べ全体的に大きなバリが発生していた. これはすかし角に比べ, 切り込み量が小さく,1 枚刃に近い状態になり切れ刃に大きな負荷がかかったためであると思われる. 溝の底面では, 超音波振動を付加した場合, カッティングマークが顕著に表れた. 底面の表面粗さについて, 溝入口付近で測定したものを図 4に示す. 超音波振動を付加したほうが, 少し良く全体的に均一になった. これは, バリの軽減から切削性が良くなったと考える. また, 市販のエンドミルに近い形状のエンドミルを製作し同様の切削条件で加工実験を行ったが, 送り速度 16mm/min with USV の条件で折損した ( 図 5). 工具がねじりの応力に耐えられず折損したと考えられる. 4. 結言今回, 超音波振動によりバリの減少が確認できた. マイクロエンドミル製作において, 精度の良い工具の製作が重要である. また, 高い強度を持つ工具や適切な切削条件についてなどを調べる必要がある. 文献 1) 高沢孝哉 : バリテクノロジー, 朝倉書店 (198). 2) Sinan Filiz(et al.) : An experimental investigation of micromachinability of cooper 11 using tungsten carbide micro-endmills - International Journal of Machine Tools of Manufacture, 47, 7-8, (27) pp ) 北清二郎 : マイクロエンドミルの先端形状が溝加工特性に及ぼす影響, 21 年度精密工学会九州支部熊本地方講演会論文集 Workpiece Milling condition Table2 Cutting condition for 1-blade endmill Rotational Speed 42 min μm Groove length 1mm Depth of cut Depth of groove Feed Speed Feed per tooth Ultra Sonic vibration Fluid Average surface of roughness Ra [µm] Average surface of roughness Ra [µm] 2μm 33.3μm Duralumin (JIS : A217P-T351) 1 μm 1 μm 2, 4,16mm/min.238,.476, 1.94 μm/rev/tooth None /.5μm Water-based coolant(2) Fig.2 Grooves machined by Tool2 33.3μm 33.3μm 33.3μm (a) With USV (b) Without USV Fig.3 Grooves machined by Tool1 (F=16.mm/min) Feed Speed [mm/min] (a) With USV Feed Speed [mm/min] (b) Without USV Fig.5 Average surface of roughness 33.3μm Fig.4 Tool5 (F=16.mm/min With USV)

37 1118 水素ライナー用アルミニウムの電解援用 CMP に関する基礎研究 Basic study on Electro Chemical Mechanical Polishing for Aluminum 学嶋田俊太 ( 九大 ) 正土肥俊郎 ( 九大 ) 畝田道雄 ( 金沢工大 / 九大 ) 黒河周平 ( 九大 ) 大西修 ( 九大 ) 三浦崇寛 ( 九大 ) Shunta SHIMADA, Kyushu University, 744 Motooka, Nishi-ku, Fukuoka Michio Uneda, Kanazawa Institute of Technology, 7-1 Ohgigaoka, Nonoichi, Ishikawa Toshiro DOI, Syuhei KUROKAWA,Osamu OHNISHI, Takahiro MIURA, Kyushu University Key Words : electro chemical mechanical polishing, aluminum, high pressure hydrogen fuel tank system 1. 緒言 現在, 水素ステーション用の高圧水素タンクには鋼製の高圧水素容器が広く用いられているが, 容器の水素脆性, 重量, 高圧化等に多くの課題点が残されている. したがって, 貯蔵タンクのさらなる信頼性の向上, 大型化, 高圧化といった性能向上には新たな視点に基づく高耐圧容器が必要となり, その製造法の確立が求められている. 新貯蔵タンクの試作 製造工程において, アルミライナには肩部に絞り加工を施す必要があるため, ライナ内面にしわやスクラッチ等の欠陥を生じる可能性がある. ライナ内壁に欠陥を生じると, 高圧水素を充填する際に欠陥部位へ応力集中を招く恐れがあり, その結果, 貯蔵タンクの信頼性は低下する. このような問題を解決するには, ライナ内壁を研磨仕上げによる平滑鏡面とすることが必要となる. 一方, ライナ内壁研磨を想定すると, 内壁研磨は小さな開口部から専用特殊工具を挿入し, ライナ形状に沿った曲面の加工が必須となるため, 高圧力下での研磨は困難であることが予想される. そこで本研究では, アルミニウムライナ内壁研磨のための調査研究として,CMP 並びに電解援用 CMP( 以後,E-CMP と称する ) に着目し, それらの研磨特性 ( 研磨レート ) を比較するとともに電解援用の効果を検証した. 2. 実験方法及び条件 図 2 に本実験における研磨モデルを示す. 実験装置には片面研磨装置を用いた. 本装置にて CMP 並びに E-CMP の双方の実験を行うことを通じて,CMP と E-CMP の研磨特性を比較した.ECMP においては電解を付与するために, 試料としての 15mm のアルミニウム (A661T6) チップに対して陽極接点を, 不織布パッドを貼り付けた定盤に陰極接点を設けられるように配線した. なお, 試料は熱可溶性ワックスを用いてステンレスプレートに固定した. また, パッドには E-CMP において研磨界面に電解援用効果が得られるように貫通溝を設けた. 主な実験条件を表 1 に示す. スラリーには汎用の高純度アルミナ粒子系酸性タイプのものを用いた. (a) Over view (b) Aluminum alloy tank Fig.1 Aluminum alloy tank winded with CFRP Pad Aluminum tip Slurry Workpiece holder DC power supply Anode Platen Fig.2 Schematic of experimental setup Table 1 Main experimental conditions Workpiece A661T6 (15mm15mm) Pad Non woven type Slurry supply rate 1ml/min Rotation speed of pad 45min -1 Polishing time 1min 3. 実験結果及び考察 Cathode 3.1 研磨レートに及ぼす研磨圧力の影響と電解援用の効果図 3 に研磨レート (RR CMP,RR E-CMP ) と研磨圧力 (P) の関係を示す. プロット ( :RR CMP ) は CMP, プロット (:RR E-CMP ) は E-CMP( 負荷電流値 :.3A) の結果である. この結果から,CMP,E-CMP の双方ともに研磨圧力の増加に比例して研磨レートは増大する. 一方,CMP と E-CMP を比較すると, 研磨圧力に対する研磨レート上昇傾向 ( 傾き ) には変化が見られず, オフセットのみ向上することがわかる. したがって,CMP と E-CMP の差分を研磨レートに及ぼす電解援用の効果と定義するとき, 電解援用効果には圧力依存性がないと言え, このことは従来の Cu を対象とした電解複合研磨による知見と同様である. 上記結果に関連して, 図 4 は図 3 で示した研磨圧力の増加に伴う研磨レートの傾向を線形近似した結果について, 当該増加率 ( 傾き ):( ), 並びに切片 ( オフセット ):() をまとめた結果である. この結果からも, 負荷電流値を変化させても研磨圧力に対する研磨レートの上昇傾向 ( 傾き ) は変化せず, オフセットのみ向上すると言える. ここで, オフセットの上昇に圧力依存性がないことを検証することを目的として, スラリーとして高純度アルミナ粒子を含まない薬液のみのスラリーを作成し, 同様に, 研磨圧力に対する研磨レートの変化を検証した. その結果を図 5 に示す. なお, 負荷電流値は.3A である. この結果, 薬液のみの特殊スラリーであっても研磨レートが得られ, また, 当該結果には圧力依存性が見られないことがわかる.

38 Removal rate (RR) nm/min CMP ECMP RR ECMP =1.6P RR CMP =1.P Pressure (P) kpa Fig.3 Relationship between applied pressure and removal rate Slope of line nm/min kpa Removal rate(rr) nm/min CMP E-CMP Applied current A Fig.4 Effect of applied current on removal rate Pressure(P) kpa 75 5 Offset of removal rate nm/min Fig.5 Relationship between applied pressure and removal rate when slurry without particle was used すなわち, アルミニウムの E-CMP においては, アノード側にて,Al Al 3+ +3e - の反応が, カソード側にて, 2H + +2e H の反応が生じる. その結果, ケミカル反応として,2Al+6H + 2Al 3+ +3H 2 が得られることによって電解援用研磨が進行すると言える. そして, このことは研磨圧力に依存しない反応であることから, 上述の結果が得られたと考えられる. また,E-CMP による研磨レートの上昇効果 (IE(P)) を RR IE( P) = E CMP ( P) RR RR ( P) CMP CMP ( P) と定義し, 研磨圧力に対する上昇効果をまとめた結果を図 6 に示す. この結果から低圧力下の方が研磨レートの上昇効果は大きいことがわかり, すなわち,E-CMP は高圧力下での研磨が困難な条件で電解援用の効果がより得られやすい研磨方式であると言える. Increase effect of removal rate (RR) % Roughness Ra nm A.3A 2.2A.1A Pressure (P) kpa Fig.6 Increase effect of E-CMP on removal rate CMP E-CMP Applied current A Fig.7 Effect of applied current on roughness 3.2 表面粗さに及ぼす電解援用の影響図 7 に CMP と E-CMP における表面粗さ (Ra) の結果を示す. この結果から,CMP に比較すると E-CMP によって表面粗さは幾分改善されることがわかる. このことは, E-CMP で一般的に明らかにされていることと同様に, 試料と電解液の間に生成される電解液膜が薄い部分, すなわち, 試料の微小凸部から優先的に研磨が進行することによるものと考えられる. 一方, 本実験では専用電解液ではなく, 汎用酸性系スラリーを用いたことから, 供給できる負荷電流値は小さく, その結果, 顕著な表面粗さの低減効果が得られなかったと考えられる. 4. 結言 本研究によって得られた結果を以下に要約する. (1) 汎用スラリーによる E-CMP では研磨圧力に対して研磨圧力は比例的に増加する.CMP と比較すると E-CMP では研磨レートは増大するが, その増大効果に研磨圧力の依存性はなく, 負荷電流が高いほど増大効果は大きい. (2) E-CMP の効果は低研磨圧力下で顕著に得られ, すなわち, 高圧力下での研磨が困難な条件において有効な方式である. (3) 汎用スラリーを用いた E-CMP であっても,CMP と比較して表面粗さの低減効果を得ることが出来る. 文献 1) 佐藤修三 : 電解研磨技術の適用,CMP 技術体系, pp

39 1119 結晶粒微細化処理を施した SCM435 の切削性 Machinability of grain refined steel SCM435 学大村剛輝 ( 九工大 情報工 ) 中村克昭 ( 九工大 ), 正是澤宏之 ( 九工大 ), 正楢原弘之 ( 九工大 ), 正鈴木裕 ( 九工大 ) Yoshiteru OMURA, Kyushu Institute of Technology, Kawazu 68, Iizuka-shi, Fukuoka Katsuaki NAKAMURA, Kyushu Institute of Technology, Kawazu 68, Iizuka-shi, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, Kawazu 68, Iizuka-shi, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, Kawazu 68, Iizuka-shi, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, Kawazu 68, Iizuka-shi, Fukuoka Key Words : grain refining structure, milling, SCM 緒論レンズや医療機器を製造する精密金型では面精度が求められる. 面粗度を向上させる試みとして切削技術の観点からは加工条件の適正化が進められてきたが, 被削材の性質まで着目した研究はあまり行われていない. そこで本研究では, 従来までの加工条件の適正化に加え, 被削材に結晶粒微細化処理を施し面粗度の向上を試みる. 中村等が提案した CREO 1) 2) 処理 ( 旧名 :STSP) は, 連続的にせん断ひずみを与えることで鉄鋼材料においても微細化可能であり, 精密金型用材料への適用が期待できる. 本報では, 熱処理を施した SCM435 鋼および CREO 処理を施した SCM435 鋼 ( 以下, 前者を熱処理材, 後者を CREO 材と表記する ) を対象とし, 精密金型用材料としての評価の一環として被削性の比較を行ったので報告する. 2. CREO 処理金属材料は一般に結晶粒径が微細になるほど強度が高くなることが知られている. 結晶粒微細化処理の機構は, 連続的にひずみを与え, ひずみによって結晶粒界に転位やすべり線を生じさせ, 新たな結晶粒界が発生するようになっている.Fig.1 に今回微細化処理の一手法として採用した CREO 処理の概観図を示す.CREO 処理は棒材を高周波加熱で加熱して局部的に低変形抵抗の領域を形成し捻り変形を付与することで連続的にせん断ひずみを生じさせ, 隣接する冷却装置によって結晶粒の粗大化を防ぐ仕組みになっている. 3. 実験および評価方法熱処理材は SCM435 鋼を用いて,86 3min の加熱保持後水冷し焼入れした. その後 56 6min の加熱保持後冷却し焼き戻しを施したものを用いた.CREO 材は SCM435 鋼を用い 8 で加熱し横移動速度 15mm/min, 回転数 8min -1 の捻りひずみを与えた直後に急冷した. その後, sec の焼き戻しを施した c54,7 15min の焼き戻しを施した c7,8 15min の焼き戻しを施した c8 の 3 種類を用いた.CREO 材の処理条件を Table 1 に示す. 使用材料の硬度分布を Table2 に示す.c54 の電子顕微鏡組織写真を Fig.2 に示す. 工作機械には MC43L( ソディック製 ) マシニングセンタを用いた. 工具は 2 枚刃, 工具径 4mm の窒化チタンアルミコーティングを施した超硬スクエアエンドミル MSE23SS( 日進工具製 ) を採用し, ダウンカットにて側面切削を行った. 被削性の評価として, 加工面および切り粉の観察, 表面粗さ, 切削抵抗の測定を行った. 表面粗さの測定にはサーフテスト SJ-21R( ミツトヨ製 ), 加工面の観察にはデジタルマイクロスコープ VHX-1( キーエンス製 ), 切削抵抗の測定には圧電式 3 成分動力計 9256B( 日本キスラー製 ) を使用した. 切削抵抗は, x 軸方向分力を Fx,y 軸方向分力を Fy として測定し, 分力 Fx,Fy の合力の最大 値を切削抵抗値として評価した. 切削条件を Table 3 に示す. 切削実験の概観図を Fig.3 に示す. φ4mm Rotation Induction heating coil Transfer of working area Cross section of cooling unit Fig.1 CREO s system Table1. CREO processing conditions Table2. Hardness distribution Table3. Cutting condition for the experiment Fig.3 Cutting method Fig.2 Electron micrographs of c54

40 4. 実験結果および考察 4.1 加工面観察及び表面粗さ測定結果熱処理材と CREO 材の加工面の算術平均粗さ, 最大高さ粗さを Fig.4 に示す. 加工面の観察結果を Table 4 に示す. CREO 材 c54,c7 は全ての送り速度において熱処理材に比べ良好な加工面が得られた. 一方 CREO 材 c8 の表面は全ての送り速度において熱処理材の表面より悪化した面が得られた. 顕著に差異がみられた送り速度 16mm/min では, 最も良好な加工面が得られた CREO 材 c54 の表面粗さは熱処理材と比べ加工面の算術平均粗さは.25μm, 最大高さ粗さは 1.61 μm 小さくなった. これは CREO 処理により結晶粒が微細化されたため, 結晶粒界に沿った劈開を生じ, 段差が小さくなった, もしくは粒内をせん断した場合でも, 粒界での劈開段差が小さくなったというように粒径に依存したものだと考えられる. 4.2 切削抵抗加工時の切削抵抗を Fig.5 に示す.CREO 材 c54 は全ての送り速度において熱処理材に比べ約 5% と非常に低い値を示した. 一方 CREO 材 c7,c8 においては, 熱処理材と比べあまり変化は見られなかった. 刃先温度は通常 8 程度まで上昇すると考えられており また結晶粒微細化された鋼材は 8 以上で粒界滑りによって変形抵抗が大幅に低下することが報告されている. このことから,CREO 材 c54 の変形抵抗が低下したため切削抵抗が低下したと考えられる. 4.3 切り粉観察結果熱処理材と CREO 材 c54 の切り粉の観察結果を Table 5 に示す.CREO 材 c54 の切り粉に見られる縞模様は熱処理材のそれに比べピッチが小さくなっており, 切り粉の分断傾向が顕著であることから工具に対する接触面積が小さくなったと考えられる. そのため CREO 材 c54 の切削抵抗値は小さくなったと考えられる. 5. 結論精密金型用材料をしての評価の一環として,CREO 材と熱処理材の切削を行い比較した結果以下の結論を得た. (1) CREO 処理を施すことで切削後の面粗度の向上は可能である (2) 今回実験範囲での CREO 材の最良の面粗度は,c7 における送り速度 32mm/min の条件で算術平均粗さ.18μm 最大高さ粗さ 1.2μm であった. (3) CREO 材 c54 の切削抵抗値は熱処理材に比べ約 5% の値を示した. (4) 熱処理材における送り速度 16mm/min の場合と CREO 材 c8 における全ての送り速度の場合では破断面が発生し, これにより面粗度が悪化したものと考えられる. [ 謝辞 ] 本研究を行うにあたり, 中村克昭特任教授, 鈴木裕教授にご指導いただきました. 厚くお礼申し上げます. Fig.4 Surface roughness Ra and Rz Table4. Cutting surface Fig.5 Cutting resistance Table5. Appearance of chips 参考文献 1. Katsuaki Nakamura and others.:application of Severe Torsion Straining Process for Grain Refinement of Steel,Materials Sciene Forum,Vols.53-54,26,pp Katsuaki Nakamura and others.: Develop of Severe Torsion Straining Process for Rapid Continuous Grain Refinement,Materials Transactions,Vol.45,No.12,24,pp

41 112 ドライホブ切りにおけるコーティング材種及び切削速度の影響 Influence of Coating Materials in and cutting speed in Dry Hobbing 学本田慎太郎 ( 九産大 ) 正久保明雄 Shintarou HONDA, Kyushu Sangyo University, matsukadai 2-3-1, higashi-ku, Fukuoka Akio KUBO, Kyushu Sangyo University Key Words : Hob, Gear, Coatings, Flank Wear, Crater Wear 1. 緒言 近年, 作業環境や地球環境の改善のため, 切削油を使用しないドライホブ切りが採用されている. しかし, ドライホブ切りにおいては, 切りくずの噛み込みによる工具損傷や仕上げ面精度への影響が問題となっている 1). 一方, コーティング技術の向上により様々なコーティングが開発されている 2).TiN,TiAlN コーティングを施したホブから新しいコーティングを施したホブへ移行しつつある. 以上のことをふまえ, 本研究ではエンドミル加工で優れた性能 ( 耐摩耗性 ) を示している AT コーティング,SX-H コーティングのホブを製作しドライホブ切りを行った. また, 従来から使用されている TiN コーティング,TiAlN コーティングのホブでもドライホブ切りを行い, コーティング材種と切削速度の違いによる工具寿命への影響を比較, 検討した. 2. 実験条件 ホブ切りには, カシフジ KS-3 型生産ホブ盤を使用した. ホブ諸元を表 1 に示す. ホブ母材は高速度鋼の SKH55 相当を使用し, コーティング材種は AT コーティング 3), SX-H( 単層 ) コーティング 4), 及び,SX-H( 多層 ) コーティングのホブを使用して実験を行った. また, 比較検討するため TiN コーティング,TiAlN コーティングのホブを使用し実験を行った. なお, 今回はすくい面を研削後の逃げ面のみのコーティング状態で実験を行った. AT コーティングは耐熱性に優れており,Al の含有量の Table 1 Specifications of the hobs Module 3 Pressure angle 2 Rake angle Lead angle 2 23 Material SKH55 Coated AT, SX-H single-film, SX-H multi-film, TiN, TiAlN Table 2 Specifications of the gears Module 3 Material SCM42 Hardness HB17 Number of teeth 37 Face width 4 mm Outline 117mm Top Bottom Fig2. Scratch mark 最適化により密着性が向上した. 皮膜硬度 Hv3,, 耐酸化性 1,1 である.SX-H( 単層 ) コーティングは皮膜硬度, 耐酸化性に優れており, 従来の高硬度皮膜の弱点であった高速度鋼への密着性が改善された. 皮膜硬度 Hv3,7, 耐酸化性 1,3 である.SX-H( 多層 ) コーティングは, 外皮膜を SX-H コーティング, 内皮膜を別の材種にしている. 歯車素材は表 2 に示すように, 外形 117mm, 歯数 37 枚, 歯幅 4mm, 硬さ HB17 の SCM42 とした. 切削条件を表 3 に示す. 今回の実験は, 切削速度による工具寿命への影響を検討するため, 切削速度 1,15m/min, 送り 2.5mm/rev とし, 標準平歯車をクライム方式でホブ切り加工した. ホブの寿命判定基準を, 最大逃げ面摩耗幅が.2mm を超えた時点とし, 最大逃げ面摩耗幅が.2mm に達しない場合でも, ホブ切り個数の上限を 1 個とした. 3. 実験結果および考察 3.1. 切削速度 1m/min の場合切削速度 1m/min の実験結果を図 1 に示す.AT コーティングの実験では,1 個ホブ切り後に初期摩耗が見られ, その後 2 個目まで定常摩耗が見られる. また, 急激な摩耗の進行が 25 個目と 45 個目に見られたが, 切りくずの噛み込みによって発生したものと思われる. SX-H( 単層 ) コーティングの実験では, 初期摩耗が.9mm と大きく, 定常摩耗も見られたが,1 個目以降摩耗が急激に進行している. SX-H( 多層 ) コーティングの実験では,5 個目まで AT コーティングの結果に近い摩耗幅だったが,5 個目以降に摩耗が急激に進行して寿命判定基準を超えた. TiN コーティングと TiAlN コーティングの実験では, 比較的優れた耐摩耗性を示した. 特に,TiN コーティングホブはホブ切り個数 1 個になっても, 最大逃げ面摩耗幅が.151mm までしか進まず, 優れた耐摩耗性が示された. しかし,TiAlN コーティングホブは 65 個目のホブ切り時に異常な切削音がしたので, ホブ盤を緊急停止し実験を終了せざるを得なくなった. ホブを確認すると, 刃のすくい面の 6 つに切りくずが付着していた. また, ホブ切り時の切りくずの影響で傷付いた歯車が確認された. 例として図 2 に傷付いた歯車を示す.SX-H( 単層 ) コーティングの実験では 15 個中 2 個,TiN コーティン Scratch mark Table 3 Cutting conditions Cutting speed 1, 15m/min Feed 2.5 mm/rev Cutting method Climb Coolant Dry

42 Width of maximum flank wear (mm) Width of maximum flank wear (mm) SX-H single SX-H multi No. of cutting gears Fig.1 Influence of coating materials on maximum flank wear SX-H multi No. of cutting gears Fig.3 Influence of coating materials on maximum flank wear Enlargement グの実験では 1 個中 2 個確認された. その他のコーティングの実験では確認されなかった 切削速度 15m/min の場合切削速度 1m/min で使用したホブのすくい面を再研削し, 切削速度を 15m/min に増加し実験を行った. 結果を図 3 に示す. 切削速度 1m/min と比較すると, 各ホブ共工具寿命が著しく短くなっていることがわかる. AT コーティングの実験では,1 個目のホブ切り後に初期摩耗が見られ, その後定常摩耗が見られ寿命判定基準を超えた. SX-H( 単層, 多層 ) コーティングの実験では, ともに摩耗が急激に進行し定常摩耗もなく寿命判定基準を超えた. TiN コーティングの実験は, 初期摩耗が.27mm と他のコーティングに比べて小さく, 定常摩耗も緩やかに進行し, 今回の実験でも, 最も優れた耐摩耗性を示した. TiAlN コーティングの実験は, ホブ切り 15 個目まで AT コーティングに近い結果を示したが, それ以降摩耗幅があまり拡大せず優れた耐摩耗性が得られた. しかし,22 個目と 23 個目のホブ切り時に, びびり振動が確認された. このびびり振動は,23 個目以降の摩耗幅を拡大させた一因であると推測され, ホブ盤の主軸のパワや剛性の不足によって発生したと思われる. 図 4 と図 5 は,AT コーティングの実験と SX-H( 単層 ) コーティングの実験で逃げ面摩耗幅が最大の切れ刃を示している.AT コーティングの実験は, 逃げ面摩耗の形状が一部のみ深く進行していることが確認できた.SX-H( 単層 ) コーティングホブは, 角摩耗が確認できる. また,TiN, TiAlN コーティングの実験では,AT コーティングの実験のように逃げ面摩耗が深く進行していた.SX-H( 多層 ) コーティングの実験は,SX-H( 単層 ) コーティングの実験のように角部に摩耗が確認された. 今回の SX-H( 単層, 多層 ) コーティングの実験では, ともに, 角部の摩耗が切りくずの噛み込みによって発生したことが工具寿命を縮める要因となったと思われる. なお, 切削速度 1m/min では切りくずによって傷付いた歯車が確認されたが, 今回の実験では確認されなかった. 4. 結言 SKH55 AT coated, :, Climb V:15m/min, f:2.5mm/rev, DRY 2 gears DRY hobbed -2 hob tooth Fig.4 Maximum flank wear (AT coated tool) Enlargement エンドミルドライ加工用に開発された新しいコーティング材種を用いた逃げ面のみコーティングのホブで, 切削速度を変え平歯車の加工実験を行った. その結果, 次のことがわかった. 1) AT コーティングホブと SX-H( 単層, 多層 ) コーティングホブは, エンドミルによるドライ加工では優れた耐摩耗性を示しているものの, ドライホブ加工では TiN,TiAlN コーティングホブよりも耐摩耗性は低かった. 2) TiN コーティングホブは, 今回の条件では優れた耐摩耗性が得られた. 3) ドライホブ切りで工具寿命を向上させるためには, ホブの切れ刃に切りくずの付着を防ぐことと, ホブ盤の主軸のパワと剛性を増強する必要があると思われる. 文 献 SKH55 SX-H coated, :, Climb V:15m/min, f:2.5mm/rev, DRY 1 gears DRY hobbed -1 hob tooth Fig.5 Maximum flank wear {SX-H (single film) coated tool} 1) 梅崎 ほか 3 名, ホブ切り過渡現象の観察と切りくず生成機構の解明 ( 第 4 報 ), 機論, , C(27), pp ) 桜井 ほか 1 名, 切削工具用新世代 AIP コーティング皮膜, 機械と工具, 日本工業出版, 3, (27), pp ) 4) m

43 1121 低環境負荷球状黒鉛鋳鉄材における基礎実験 Basic Experiment of Spheroidal-Graphite-Cast-Iron Material 学宇根裕一 ( 九大 ) 正梅崎洋二 ( 九大 ) 正黒河周平 ( 九大 ) 松川洋二 ( 九大 ) Yuichi UNE, Kyushu University, Motooka744, Nishi-ku, Fukuoka Syuhei KUROKAWA, Kyushu University, Motooka744, Nishi-ku, Fukuoka Yoji UMEZAKI, Kyushu University, Motooka744, Nishi-ku, Fukuoka Yoji MATSUKAWA, Kyushu University, Motooka744, Nishi-ku, Fukuoka Key Words : Spheroidal-Graphite-Cast-Iron, gear, flying tool, fatigue 1. 緒言 球状黒鉛鋳鉄は, 振動減衰性が良く, 比重が鋼の約 9% であり, 最終形状に近い製品が得られるなどの他, 特に生 産コスト面で鋼よりも優れている特徴を持つ. しかし, そ の靭性では, 鋼に比べて劣るという欠点がある. 動力伝達 用歯車材としては, ねばりのある鋼の方が好まれて利用さ れてきた. 近年, 鋳造技術の向上により, 球状黒鉛鋳鉄材 の硬さや伸びなどの強さが向上したが, 歯車として使用し 得る強さの調査はまだ十分でない. 本研究は, 球状黒鉛鋳 鉄の歯車材適用の可能性を調査する基礎研究を行った. 本報では, 二円筒ローラ試験機による滑り転がり疲労試 験, 横型フライス盤による断続切削試験を行うことにより, 球状黒鉛鋳鉄材の面圧疲労限度, 超硬, コーティング工具 における球状黒鉛鋳鉄材切削の適切な切削速度を調査した. 2. 滑り転がり疲労試験 2.1 実験方法 二円筒ローラ試験機を用いて, 球状黒鉛鋳鉄の試験片を, 歯面の接触を二円筒の接触としてシミュレートし, 面圧強 さに焦点を絞り, 試験片にかかる荷重を操作することによ り, 試験片にかかる最大ヘルツ応力を 1373~157MPa に変 化させ, 滑り転がり疲労試験を行った. 2.2 実験条件 表 1 に試験条件を示す. 試験片の最大高さ粗さは, 実際 の歯車では仕上げ切削では一般的にサーメットホブ切り仕 上げを用いるため, それを想定した値となっている. Table1 Test conditions Surface roughness Rz μ m Max.Hertzian Test No. Material Before test stress H L σ H MPa FCD ツ応力が 1373MPa の面圧強さを示した. 鋼材 (SCM435 HB32) (1) と比較しても損傷のない強さを示している. Fig1 S-N curve of FCD95 and SCM 切削試験 3.1 実験方法 横型フライス盤 ( 図 2) で, 一本刃の舞いツールを用い, 球状黒鉛鋳鉄の下溝付きの被削材をさらに断続切削する という単純な下向き切削形式でホブ切り ( モジュール 3) をシミュレートした切削試験を行った. コーティング膜, 条数, 湿式 乾式, 切削速度などを変え, 適切な条件を 調査した. 切削距離が 2.5m ずつで刃先逃げ面中央部 右 側面 左側面のフランク摩耗量の観測を行い, 摩耗量が.3mm を超えるか, もしくは切削距離が 2m に到達した 場合試験を終了した. これは, 一般的に摩耗量が.3m を 超えると歯車の精度や切削自体に問題を起こすからであ る. 2.3 疲労試験結果 考察図 1 は, 最大ヘルツ応力とローラの負荷の繰り返数のグラフである. 繰り返し数 21 7 付近においても, 最大ヘル Fig2 Milling machine

44 Flank wear mm Flank wear mm Flank wear mm Flank wear mm Flank wear mm Flank wear mm Flank wear mm Flank wear mm Flank wear mm 3.2 実験条件 表 2 に湿式試験の条件, 表 3 に乾式試験の条件を示す. Table2 Test conditions (wet) Test number Tool material SKH55 Coating film TiN TiN TiAlN TiN Feed(Down cut) [mm/rev] Cutting speed [m/min] Assumed hobbing condition[thread] Maximum cutting Volume/tooth[mm 3 ] Cutting method Workmaterial hardness wet FCD95 32HBW Table3 Test conditions (dry) Test number Tool material SKH55 HWP2 Coating film TiAlN TiSiN TiN Feed(Down cut) [mm/rev] Cutting speed [m/min] Assumed hobbing condition[thread] Maximum cutting Volume/tooth[mm 3 ] Cutting method dry Workmaterial hardness FCD95 32HBW 3.3 切削試験結果 考察 実験 1,3,5,6 は母材である高速度鋼の軟化温度まで温度 が上昇し,.3mm 以上の大きな摩耗となったため終了した. 切削温度の上昇に伴い切りくずと切れ刃刃先が溶着し こ れにより大きく切れ刃が摩耗したと考えられる. また, 実 験 8,9 では 1m の時点で定常摩耗に入り良好な摩耗の傾向 がわかった 湿式切削試験考察 実験 1 では,TiN コーティング膜工具において 3 条ホブ 切りの条件で 1 刃あたりの切削体積が大きく,.25m で刃 先中央部が大きく摩耗し中止した. 母材である高速度鋼の 軟化温度まで温度が上昇したと考えられる. 実験 2 では条 件を 1 条にし, 送りを下げたため, 切削体積が小さくなり, 2m まで安定した切削性を示した. 実験 4 では, 実験 2 か ら, 切削速度を 5m/min に上げ実験したところ良好な切削 性を示した. 実験 2 から, 実験 3 では, 工具を TiAlN コー ティング, 切削速度を 7m/min にしたところ, 激しく摩耗 した.TiAlN コーティングは,TiN コーティングに対して酸 化開始温度, 硬さともに高いため湿式 1 条ホブ切りの TiN コーティング及び TiAlN コーティングの適切な切削速度は 5m/min 程度であると考えられる 乾式切削試験考察 乾式では, まず 2 条の条件から始めたところ実験 5,6 の TiAlN,TiSiN コーティングで切削速度 5m/min の条件に おいては, 激しい摩耗を示した. 実験 7 では, 実験 4 と同 条件で行ったが, 乾式では湿式より温度が高くなるため 激しい摩耗が発生した. 実験 8,9 の超硬工具では 1 条ホ ブ切りの条件で 5m/min 以上の高速切削においても良好な 切削性を示した Cutting length m 結言 Fig3 Flank wear 疲労試験においては,1 7 を疲労限度として 1373MPa 付近 であることがわかった. 鋼材と比較しても, 疲労強度は十 分有していることがわかった. 切削試験においては 今回の結果から超硬工具における 乾式切削が高能率であることがわかった. しかし 超硬工 具は脆性が高く 欠けが起きる可能性があるため 安定性 を配慮しハイスをつかうのであれば 今回の結果から TiN TiAlN においては 湿式切削かつ 1 条ホブ切り 切削速度 5m/min 程度が適切な条件と考えられる. [ 参考文献 ] Top Right side Left side Top Right side Left side 1 2 Cutting length m Top Right side Left side 1 2 Cutting length m Cutting length m.4.2 Ex1 TiN 3-threads 35.8m/min Ex3 TiAlN 1-threads 69.9m/min Ex5 TiAlN 2-threads 5.3m/min Top Right side Left side Ex7 TiN 1-threads 5.1m/min Top Right side Left side Ex9 K53S 1-threads 93.7m/min 1 2 Cutting length m (1) 江角昌邦 ; 歯車の面圧強さに関する基礎研究, 平成 4 年九州大学工学部卒業論文 (2) 上野拓, 有浦泰常, 中西勉, 梅崎洋二, 高橋良治 ; 球 状黒鉛鋳鉄歯車に関する研究, 日本機械学会論文集第 465 号 C 編 P985~ Top Right side Left side 1 2 Cutting length m.4.2 Top Right side Left side 1 2 Cutting length m Top Right side Left side 1 2 Cutting length m.4.2 Ex2 TiN 1-threads 35.7m/min Ex4 TiN 1-threads 5.m/min Ex6 TiSiN 2-threads 5.2m/min Top Right side Left side Ex8 K53S 1-threads 68.4m/min 1 2 Cutting length m

45 Laser feed direction 1122 レーザ加熱によるせん断応力型き裂進展を利用したガラスの新しい非接触分断加工技術 Non-contact processing technology of the glass using shear stress due to laser heating 学白髭幸治 ( 佐世保高専 ) 正森田英俊 ( 佐世保高専 ) 正原要一郎 ( 佐世保高専 ) 学朝長和也 ( 佐世保高専 ) 学野崎亮太 ( 佐世保高専 ) 学園田剛 ( 佐世保高専 ) Kouji SHIRAHIGE, Sasebo National College of Technology Hidetoshi MORITA, Sasebo National College of Technology Youichirou HARA, Sasebo National College of Technology Kozuya TOMONAGA, Sasebo National College of Technology Ryouta NOZAKI, Sasebo National College of Technology Takeshi SONODA, Sasebo National College of Technology Key Words : glass, grooving, mirror surface, CO 2 laser, removal processing 1. 緒言近年, ガラスはパソコンのディスプレイや薄型大画面テレビなど, その他多くの工業製品に利用されている. 一般にガラスの除去加工では, 砥石による平面研削など外力によるものが主な手法である. しかし, ガラスは脆性材料であるため, 割れが生じない範囲で加工する必要があり, 加工条件の設定が困難である 1). 一方, ガラスに CO 2 レーザをある速度で走査させると, Fig.1(a) のように, 延性材料の切削屑のようなガラス片を伴いながら, 母材側に溝が発生する現象がある. このとき, 母材側に発生した溝を Fig.1(b) に, その断面図を Fig.1(c) に示す. 中心付近に,3 m 程度の突起があるが, 図中の A に相当する部分の溝表面は, なめらかな鏡面となっていた. そこで, この現象を利用して, ガラスの新しい非接触除去加工ができると考え, 研究を行っている. 2. 理論 2.1 発生メカニズム 2) 一昨年度, レーザ照射による内部熱応力状態を把握するため,FEM による熱応力解析を行った. 溝が発生する条件における解析結果を Fig.2 に示す.Fig.2 において,z 方向 ( レーザ進行方向 ) の応力 zz および,x 方向 ( レーザ進行方向に対し垂直 ) の応力 xx において, 他の方向の応力に比べ, 圧縮応力が顕著に発生している. このため, xx と zz が分断面を発生させるメカニズムに大きく影響を与えていると考えられる.Fig.2(a) では, 圧縮応力が加熱中心の外側に最大値をもち, 加熱中心での圧縮応力場が逆に低下している. これは, 温度上昇に伴いヤング率が低下し始め, 加熱中心部の表面から内側へと最大圧縮応力場が移動するため Groove, この応力場に沿って, 円孤状の溝が形成されると推測される. (a) Chip glass and groove B 1 Groove (b) Close-up picture A B 2 2 m/div (c) Cut plane Fig.1 Glass pieces and grooves that occur on the glass Laser feed direction (a) Cross section of parallel to the laser feed direction (σ zz [MPa]) Laser feed direction (b) Cross section of perpendicular to the laser feed direction ( xx [MPa] ) Fig.2 Stress distribution by two-dimensional analysis (v = 155 mm /s,p = 5 W,r = 1.7 mm) 3. 実験 3.1 実験装置実験装置において,CO 2 レーザから発生したレーザビームは,2 枚の金コートミラーを介して, 発生したガラス片に照射させないために, 約 6 斜め方向に傾けて集光レンズを通過させる. 3.2 微小幅ガラスにおける実験発生メカニズムを考察するために,2 次元の状態に近いモデルとして,.7 mm 厚のガラス側面にレーザを走査させる実験を行った.Fig.3 に実験装置図を示す. 実験に使用するガラスは一般的なソーダライムガラスを用いる. ガラスはサイズ 23 mm 9 mm, 板厚.7 mm である. 実験は出力を 1 W,2 W,3 W,4 W に設定し, 各出力でレーザ走査速度を変化させて, ガラスの分離が起きる ( ガラス片が生成される ) 条件について検証を行った. CO 2 Laser Laser beam Glass chip Soda glass Feed direction Fig.3 Equipment Mirror Collecting lens Fixed base Table

46 Power[W] Depth[mm] Thickness[μm] 3.3 熱応力解析昨年までの研究で, 温度上昇によるヤング率の減少のため, 最大圧縮応力場がガラス内部に発生し, この応力がガラスの分離に関わっていると推測された. そのため今回は, き裂先端と最大圧縮応力場の相対的な位置関係について FEM 解析により考察を行うこととした.Fig.4 に使用した解析モデルを示す. 解析はガラス片が安定的に発生していた条件 ( ガウス半径 r=.7413 mm, レーザ出力 35.4W, 走査速度 v = 4 mm/s) で行った. き裂という要素を FEM で反映させるために, き裂に相当する部分のメッシュを順次削除するという方法を利用した. 実験の結果をもとに, き裂が発生する可能性がある深さまでは, 予め細かくメッシュを切ってある. メッシュ 1 個分のサイズは, 長さ 4μm, 高さ 4μm に設定してあり, モデルの節点数は であった. 細かいメッシュの 1 個分の高さは, き裂の厚さに相当しているため, 長さに比べて可能な限り小さくしてある. ただし, き裂の進展する速度はレーザの速度と同じとし, 今回は水平方向におけるき裂先端の位置は, 最大圧縮応力場の位置に固定し, 最大圧縮応力場とき裂先端の深さ方向における相対位置関係について検証を行った. 4. 実験結果および考察 4.1 微小幅ガラス加工実験 Fig.5 に微小幅のガラスを分離させる実験の結果を示す. 横軸はレーザ走査速度, 縦軸はレーザ出力である. 実験は同一パラメータで 3 回ずつ行い, 再現性の高さを比較し, 4 段階に分けて評価した. 結果より, 出力と速度条件により, 連続した剥離屑が安定して発生する領域があることが確認できる. 各出力において, 低速の条件のもとでは剥離屑上面に溶融が起こる. これは, 低速のため, 単位入熱量が大きすぎるために溶融したものである. 一方, 高速の条件下では剥離発生が不安定となっている. これは, 単位入熱量の減少によりき裂発生に必要な熱応力が得られなかったために, 安定しなかったと考えられる.Fig.6 にセナルモン法による応力観察写真を示す. 観察は,CCD カメラの撮影速度を考慮し, 低い走査速度領域で行った.Fig.6 は P = 16.5 W,v = 5 mm/s の場合を示している.Fig.6 において, 最大圧縮応力場は, き裂よりも僅かながら下側に現れている. また, き裂先端部分の上下で, 急激に圧縮ひずみから引張ひずみへと変化していることがわかる. このことから, き裂下部に発生した強い圧縮応力場によって発生するせん断応力によって, き裂がレーザ走査方向に誘導していると考えられる. 4 3 Laser feed direction Fig.4 Analysis model 2 Fine 1 Discontinuity Melting Failure Feed speed[mm/s] Fig.5 Result of slice processing Crack tip Maximum compressive stress field Fig.6 Observation by senarmont method No melting glass chip Molten glass chip Feed speed[mm/s] Fig.7 Relationship between speed and glass chip 4.2 熱応力解析 Fig.8 にき裂を考慮した FEM 解析結果を示す. これは, 仮定したき裂深さの位置と, き裂上下における応力差の関係を表している. き裂先端部では, き裂上下で熱伝導しないため, 大きな応力差が生まれると考えられる. つまり, ガラス先端部には, せん断応力が発生しており, これがき裂を成長させていると考えられる. また, 全体的にガラスの平均破壊応力値である 49 MPa よりも大きい値を示している.Fig.8 で示しているラインは, 最大圧縮応力場の位置でき裂が進展した場合を指している. 最大圧縮応力場よりも浅い部分に応力差の最大値が存在していることが分かった. よって, この解析により, 本条件においては, 最大圧縮応力場より浅い位置でき裂が進展することが理論的に示された Maximum compressive stress Stress difference[mpa] Fig.8 Relationship between stress difference and depth 5. 結言本研究で提案した, レーザ加熱によるせん断応力型き裂進展を利用したガラスの新しい非接触分断現象の発生メカニズムについて, 考察するための実験やき裂を導入した場合の熱応力解析を行った. その結果から, レーザ熱により発生するせん断応力がき裂を成長させていることと推測された. つまり, 鏡面溝部分は円弧状のき裂が, せん断応力によりレーザ走査方向に誘導されるために起こる現象であると, 本研究により明らかになった. 文献参考文献 1) 杉田忠彰, 上田完次, 遠藤勝義, 硬ぜい材料のマイクロ切削における塑性変形型材料除去の可能性, 精密工学会誌, 52,12(1986), pp ) 岩永孟, レーザ熱による剥離現象を利用した脆性材料の非接触加工技術に関する研究, 平成 21 年度特別研究論文

47 射出成形金型の金型分割面における樹脂挙動の研究 Characteristic of Resin at Parting Line on Injection Mold 学中野翔太 ( 九工大 ) [ 指導教官 ] 正是澤宏之 ( 九工大 情 ), 正楢原弘之 ( 九工大 情 ), 正鈴木裕 ( 九工大 情 ), Shota NAKANO, Kyushu Institute of Technology, Kawazu 68-4, Iizuka, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology Hiroshi SUZUKI, Kyushu Institute of Technology Hiroyuki NARAHARA, Kyushu Institute of Technology Key Words : Injection Molding, Parting Line, Thin Thickness,Mold Flash 1. はじめに射出成形法とは, 溶融樹脂を高圧で金型に充填し, 固化させることで目的の形状を得る成形法である. 射出成形法は大量生産に適した成形方法であるため, 成形不良の発生が生産性に大きく影響する. そこで成形不良低減のために発生原因を解明することが重要である. 本研究では金型分割面 ( 以下,PL 面 ) に発生するバリに着目する. キャビティ内圧力や型締力による金型の変形のため,PL 面に間隙が生じ, そこに樹脂が侵入, 固化することでバリが発生する. これまでに PL 面に生じる間隙量と樹脂の侵入長についての報告 1) はあるが, 侵入長等の具体的な数値が示されていない. 本報では間隙量とバリの関係の調査を目的とし, 複数間隙を設けて疑似的にバリを成形する実験金型と実験結果を報告する. 2. 実験用金型概要今回使用する金型に求められる要件は,1 成形中の間隙量の変化を抑える,2 複数の間隙に侵入する直前の樹脂の状態 条件が一定となる,3 空気による外乱を抑えることである. 金型の構成図を Fig.1 に, キャビティ構造を Fig.2 に示す. 各要件について以下に示す. 第 1の要件 : 通常, キャビティの変形が PL 面に影響を与えるため,4 枚のプレートを 2 枚 1 組で構成する. 円筒プレートの空洞部に凸形状プレートを嵌合させる構造とし, 凸形状プレートの変形を円筒プレートへ伝搬させない構造となり, 間隙の変形を抑える効果が期待される. 加えて, 凸形状プレートの厚さ調節により, キャビティ厚さを変更可能である. 今回は厚さ 5[mm] で成形し, 体積は 16616[mm 3 ] となる. 第 2の要件 : 間隙量の変化に伴う侵入長を評価可能とするためには, 複数間隙を設けて同時に充填する必要がある. 加えて, 各間隙直前の圧力は同一である必要があり, これにより成形品形状を円形形状とする. 第 3の要件 : 充填中に樹脂に押し出される空気が PL 面からの排出が困難になり, 樹脂の侵入を阻害することが懸念される. そこで充填中の空気の流れを妨げないために金型外周部の間隙の末端を解放構造とする. 3. 実験 3.1 実験概要樹脂が微小間隙に侵入する際に, 侵入量に関係する要因として考えられる, 計量および保圧が与える影響を調べる. 計量は射出する樹脂の量, 保圧とは収縮する樹脂分の力を Z Y X Movable Mold Pipe Plate1 Pipe Plate2 Convex Plate2 Convex Plate1 Stationary Mold Pipe Plate Pipe Plate (a)whole view (b)a Cross Section view Fig.1 Experimention Mold Sprue 補うための圧力である. 今回の実験では, 樹脂がキャビティの外周に到達し微小間隙には侵入していない段階において, 微小間隙に侵入する以前の段階における計量位置の調節により, キャビティにフル充填されていない状態, キャビティにフル充填されている状態, オーバーパックして微小間隙に樹脂が侵入している状態の 3 の条件を割り出す. その後, 微小間隙に樹脂が侵入させる段階として, すべての条件において保圧をかける. 実験条件を表 1 に示す. Resin Sprue Cavity t=5[mm] Thin Thickness Point of Injection Fig.2 Shape of Cavity Z Y X Unit[mm] PP(SunAllomer PM6A) Injection Speed[mm/sec] 3 Temperature of Injection[ ] 24 Screw Position[mm] (Injected Volume)[mm 3 ] 28.5 (18894) 29 (19296) Z Y 3 (21) Holding Pressure[MPa] Time of Holding pressure[sec] 3 Number Set up Gap[mm] Table1 Molding Conditions

48 Length of Flash[μm] Length of Flash[mm] Length of Flash[mm] 3.1 成形品と評価 Fig3 に成形品を示す. 図中の番号は表 1 の間隙量の番号に対応している. 多くの成形品において間隙量が大きいほど侵入長が増加している. しかしながら中には間隙外のバリが発生した. 特に 5,6 においては, 間隙に侵入したバリと想定外に発生したバリの区別がつかない成形品が見られた. このバリの発生から, 成形中に金型が開き, 間隙量が増加してしまったと推測する. 3.2 間隙量と侵入長の関係 Fig4 に保圧,5[MPa] を作用させたときの間隙量 侵入長を示す. 間隙量が.47[mm] 以上で, 間隙量の増加にしたがって侵入長も増加している.Fig4(a) の保圧 では,.45[mm] 以上の間隙量においてサンプルごとに侵入長にばらつきが生じている. ただし侵入長の増加率は一定の傾向が観察される.Fig4(b) の保圧 5[MPa] ではばらつきの尐ない安定した侵入長となる. 3.3 保圧による侵入長への影響前節に示すように, 保圧の有無が Fig4(a),Fig4(b) の違いである. 圧力の時間変化を測定したところ, 射出工程初期において圧力が上昇し, 充填中に最大圧力を示し, その後緩やかに低下する. 保圧工程においては設定値通りの圧力を維持していた. 射出工程時の最大圧力にはばらつきが見られ, 保圧工程時にはばらつきは見られなかった. 保圧によりばらつきが抑えられたと推測する. Fig5 に計量位置 3[mm], 間隙量.1[mm] における侵入長 保圧グラフを示す.-1[MPa] において変化が見られず, 1-4[MPa] において侵入長は増加しているが, 4-5[MPa] では侵入長の減尐が見られた. 保圧による樹脂の侵入には限界値があり, 各間隙量において保圧が与える侵入長への影響には限界があると推測する. 4. 課題本実験では,.2[mm] 以下の微小な間隙の侵入長の測定が困難になるとともに, 正確な間隙量を保証できない結果となった. 間隙以外でのバリの発生がない成形条件と, 間隙量の変化を計測するために間隙センサによる金型の開きの観察が必要である. 樹脂が間隙に入る際に最も影響が大きいと考えられる物理量は圧力である. 今回の実験では, 圧力として成形機の背圧の測定値を用いた. しかしながら, これまでの知見として, 背圧と先端での圧力には差がある. そのため間隙直前に圧力センサを配置して, 間隙に侵入する樹脂の圧力の時間変化を計測する必要がある. 同様に, 測定値が安定するような成形条件で実験, 低圧時のばらつきを低減した実験が必要である. 保圧 5[MPa] 以上で成形し, 保圧が与える侵入長への影響を調査する. 5. おわりに本報では間隙とバリの関係を調査して, 成形実験から以下の知見を得た. (1) 侵入長は間隙量の増加によって増加する. (2) 侵入長はオーバーパックによって増加する. (3) 保圧の上昇により, 侵入長は増加する. 文献 1) 橋本ら他 2 名 : 型技術者会議 211,pp sample a sample b sample c 6 5 Fig3 Molded Part Set up Gap[mm] (a)holding Pressure,MPa sample a sample b sample c Set up Gap[mm] (b)holding Pressure,5MPa Fig4 Length of Flash and Set up Gap Sample a Sample b Sample c Set up Holding pressure[mpa] Fig5 Length of Flash and Set up Holding Pressure at Screw Position 3mm in Set up Gap.1mm 謝辞本研究を行うにあたり, 九州工業大学是澤宏之助教, 楢原弘之教授, 鈴木裕教授にご指導いただきました. 厚くお礼申し上げます.

49 1124 水酸化フラーレン混合スラリーを用いたサファイア CMP に関する研究 ~ リサイクル法に関する検証 ~ Study on slurries using fullerenol as fine particles to Sapphire CMP ~Verification of how to use fullerenol recycling ~ 学烏谷恵里香 ( 九工大 ) 正木村景一 ( 九工大 ) 正鈴木恵友 ( 九工大 ) 正パナートカチョーンルンルアン ( 九工大 ) 学齊藤貴志 ( 九工大院 ) Erika KARASUDANI(Kyushu Institute of Technology) Keisuke SUZUKI(Kyushu Institute of Technology) Takashi SAITO(Kyushu Institute of Technology) Keiichi KIMURA(Kyushu Institute of Technology) Panart Khajornrungruang(Kyushu Institute of Technology) Key Words : CMP, fullerene, Sapphire,FT-IR, hydroxly fullerene, recycling 1. 緒言サファイアは絶縁性, 耐薬品性, 耐熱性, 耐摩耗性に優れており,LED 基板などに使用される. 現在のサファイア基板の研磨加工にはダイアモンドによるラッピング後, ポリシングを行う. ラッピングのみで加工を行うと, 基板表面にスクラッチが発生し基板の品質に問題生じる. よって, サファイア基板の良好な平面形状を得るために, ポリシングを行う. ポリシングに使用されている技術として CMP (Chemical Mechanical Polishing) が適用されている. CMP とは化学作用と機械作用の複合効果による研磨技術である. 化学的作用ではスラリーと呼ばれる化学溶液を用いてウェハ表面に化学反応層を形成し, スラリー中の研磨微粒子により機械的に化学反応層を除去していると考えられている. サファイア CMP においては, 既存の部材であるコロイダルシリカを用いることで研磨性能の改善が行われてきた 1). しかしながら, サファイア基板の特徴として高強度, 耐薬品性などの性質により, 加工が困難であるためサファイア基板には長時間の加工が必要となる. そのため加工時間をより短縮させるために, 新規材料の適用が必要となっている. これまでの研究では, サファイア基板において同じ研磨時間でコロイダルシリカスラリーに水酸化フラーレンを混合させた場合, コロイダルシリカスラリー単体よりも表面粗さが向上することについて報告した 2). ここでは水酸化フラーレンの特徴である, 粒子径が約 1 nm で均一であることや高硬度かつ高い反応性を反映し, 3) ポリシング性を向上するものと考えられる. しかしながら, この材料を実用化させるためには, 材料コストの問題を解決する必要がある. そのため本研究では混合スラリーから CMP プロセス後に水酸化フラーレンのみを抽出するリサイクル法を開発し, 水酸化フラーレンのリサイクル法について検証した結果を報告する. 2. 水酸化フラーレン分離法の検証 2.1 実験方法実験装置には, 小型研磨装置 ( マルトー社製 ML-18) を用いた. キャリアにサファイア基板を取り付け, 錘によって荷重を調整した. 実験条件を Tab.1 に示す. スラリーの作成方法としては, 水酸化フラーレンを KOH 溶液に溶解した. その後水酸化フラーレン溶液と SiO 2 スラリーを混合した. そして 3 時間研磨を行った後のスラリー廃液を遠心分 離 (AS ONE 製 CN-14) 処理し, 水酸化フラーレンのみ分離した.Fig.1 に本研究で使用した水酸化フラーレン分子の分子式を示す. 水酸化フラーレンは,C6(OH)n n=6~12, フロンティアカーボン社製 nanom spectra D1 を用いた. Polishing time Polishing pad Polishing pressure Tab.1 Pad movement speed Slurry Particles sizies of SiO 2 ph Slurry flow Experimental condition 18 min IC kpa (13psi) 6 min -1 deionized water with KOH + C 6 (OH)n(.1wt%) + SiO 2 (5wt%) 35 (nm) ml/min Temperature Room temperature (25 ) Sample Sample size Al 2 O 3 (Sapphire wafer) 12mm 12 mm Fig.1 The model of fullerenol 研磨前後の水酸化フラーレンの変化を検証するため, 赤外分光法を用いた. 使用した装置として, フーリエ変換型赤外分光法 ( 日本分光 FT/IR-615) を用いて観察を行った. 今回の測定方法には, ヌジョール法を用いて分光観察を行った. 観察対象としては, 研磨前の水酸化フラーレンの粒子

50 に流動パラフィンを混ぜたものと 3 時間研磨後スラリー廃液を遠心分離処理し取り出した水酸化フラーレンを充分に乾燥させたものに流動パラフィンを混ぜたものの 2 種類を使用した. 2.2 実験結果 Fig.2 に遠心分離処理後の溶液状態を示す. 遠心分離処理を行うと共に, 徐々に上澄みの透明度が増している. また, Fig.3 に研磨前の水酸化フラーレンの FT-IR スペクトル, Fig.4 に研磨後のスラリー廃液を遠心分離処理し, 取り出した水酸化フラーレンの FT-IR スペクトルを示す.Fig.3,4 はピークの位置, 振動子強度の変化が確認されない. これより, 研磨前後での水酸化フラーレンの分子構造は変化しないと考えられる. これらの特徴は, 水酸化フラーレンの分子構造がプロセス中に変化せず, 遠心分離処理により混合スラリーを水酸化フラーレンのみの分離が可能であることが示唆される. (a)before (b)3minutes (c)complete separation 3. 水酸化フラーレン再利用後のスラリーの検証 3.1 実験方法 2.1 のスラリー廃液から分離した水酸化フラーレンを抽出する遠心分離処理作業後, 再度同様の方法で再利用した水酸化フラーレンを用い混合スラリーを作成し研磨を行った. これら一連の作業をリサイクル法と称し, リサイクル法を計 2 度行った. 基板表面の評価方法は, 共焦点レーザ顕微鏡 (KEYENCE 社製 VK-971) を用いた.CMP 加工前後での基板の表面粗さ Ra の差分を段差緩和レート [μm/hour]( 以後, SHR:Step Height Reduction と表記する ) とし, ポリシング性能の指標とした. 3.2 実験結果 Fig.5 に本実験の段差緩和レートを示す.SHR の値は.4[μm/hour] から大きく変化していないことが確認された. この結果から水酸化フラーレンの再利用が可能であることが示唆される. Fig.2 C 6 (OH) n and Colloidal silica slurry before (a) and after centrifugation (b)3minutes (c)complete separation Fig.5 SHR of the sapphire CMP using recycling C 6 (OH) n solution Fig.3 FT-IR spectra of C 6 (OH) n powder before CMP 4. 結言水酸化フラーレンのリサイクル法についての検証した結果を以下に示す. 1) 遠心分離処理において水酸化フラーレンの分離領域が形成され, この分離領域は処理時間と共に増加した. 2) 水酸化フラーレン再利用前の FT-IR の強度スペクトルは再利用後の FT-IR スペクトルとピークの位置, 振動子強度の変化が確認されない 3) 再利用後の水酸化フラーレンの段差緩和レートは再利用前の段差緩和レートと変化は見られなかった. よって遠心分離機による, 水酸化フラーレンのリサイクルは可能であることが示唆される. 謝辞本研究の一部は科研費基盤研究 (C) No の助成を受けて行われたことを記し, 謝意を表します Fig.4 strength spectra of C 6 (OH) n at furthermore centrifuged for 3 hours after CMP 参考文献 1) Zefang Zhang et al., J. Electrochem. Soc., 157 (6) H688 H ) 斎藤貴志 4 名 : サファイア CMP における水酸化フラーレン混合スラリーに関する研究,211 年精密工学会秋季大会学術講演会講演論文集,pp ) 篠原久典, 齋藤弥八 : フラーレンの化学と物理, 名古屋大学出版会,(1997)

51 1125 マイクロバブルによる CMP 研磨性能への影響に関する研究 Effect of CMP polishing efficiency due to micro-bubble 学河北誠也 ( 九州工業大学 ) 学高橋昴 ( 九州工業大学大学院 ) 正木村景一 ( 九州工業大学大学院 ) 正カチョーンルンルアン パナート ( 九州工業大学大学院 ) 正鈴木恵友 ( 九州工業大学大学院 ) Seiya KAWAKITA, Kyushu Institute of Technology, kawazu 68-4, Iizuka, Fukuoka Suguru TAKAHASHI Kyushu Institute of Technology, Keiichi KIMURA Kyushu Institute of Technology, Khajornrungruang PANART Kyushu Institute of Technology, Keisuke SUZUKI Kyushu Institute of Technology, Key Words :CMP, SiO2CMP,Micro-bubble 1. 諸言現在, 半導体素子の平坦化手法として,CMP(Chemical Mechanical Polishing) 技術が適用されている.CMP とは機械的作用と化学的作用の複合効果により基板の表面をポリシングする手法である.CMP における材料除去メカニズムとしては, スラリーの化学的作用によりウエハ表面に反応層 ( 水和物 ) を形成した後, 微粒子により反応層が除去されるモデルがこれまで提案されている. 特に酸化膜 CMP では,ILD の他に STI に適用されているが, セリア微粒子が, ここではセリア微粒子が用いられている. セリア微粒子の特徴は, シリカ微粒子と比較した場合, 高い段差緩和性や SiN 膜との選択比が高い, ポリシングレートが高いなどが挙げられる. しかしながら, セリア材料は原料の確保やコストの問題が深刻化しており, セリアの代替研磨材が求められている. これまでセリアスラリーの代替としては, マンガンスラリー 1), ジルコニアスラリー, シリカスラリーなど, いくつかの開発により試みられているものの, 実用化までは至っていない. これまでの別の試みとして土肥らによりガスチャンバーによるガス雰囲気の制御を行うことで, セリアスラリーによるポリシング効率向上が試みられてきたが この手法では被加工面にガス種が直接的に作用する事が困難である. そこで本研究では, このガス種を引加工面に直接的に作用させるため, マイクロバブルの適用を試みた. マイクロバブルの評価手法について検証を行ったのでこれらの結果について報告する. 2. 評価方法及び実験方法 Fig.1 にマイクロバブル生成装置の外観を示す. マイクロバブル発生装置は泡多郎を使用した. マイクロバブルの粒径の評価装置として, 光散乱光度計 DLS-7L を使用した. Fig.2 に研磨装置の外観を示す. 研磨装置は小型研磨機 ML-16A を使用した. 研磨レートの評価装置としてエリプソメータを使用した. また, 表面粗さの評価装置として狭焦点レーザー顕微鏡を使用した. マイクロバブル評価のための実験手順として, ビーカーに 3L の純水を入れ, この液中にマイクロバブル (Air) を生成した. ポンプの排水側に取り付けた泡多郎とポンプの給水側から伸ばしたホースをビーカーに入れ, ポンプによる循環構造とした. ビーカー中にマイクロバブルを発生させ, 水面下約 5cm の位置でサンプルを採取した. ポンプを 12 分間稼働し, その間マイクロバブルの生成を続けた. その後 6 分間マイクロバブルを発生させた液を放置した. ポンプ稼働後 6 分,9 分,12 分, また停止後 3 分,6 分時点のマイクロバブルの粒径を評価した. マイクロバブル (Air) を用いた研磨には SiO2 基板とサファイア基板を用いた. それぞれマイクロバブルを混入したスラリーとマイクロバブルを混入していないスラリーを使用して比較した.SiO2 基板は研磨レートを評価した. サファイア基板は研磨レートの測定方が確立していないため, 表面粗さをレーザー顕微鏡により評価した. Beaker Pump Fig.1 Micro bubble generator Fig.2 Polishing device 3. 実験結果 Fig.3(a) より,6 分間以上マイクロバブルを生成し続けた場合, 粒径のピーク値は 12 分間までは安定していることが確認された. また, 粒径 1nm と 1μm にマイクロバブルの再現性が確認できた.Fig.3(b) より, この粒径のマイクロバブルの強度が安定していることが確認された. これよりマイクロバブルを生成する時間は 6 分間で十分であると考えられる. Fig.3(c) より, マイクロバブルを発生させた液を放置すると, マイクロバブルの粒径のピーク値が 1nm と 1μm, 1μm 付近に確認された. 粒径 1μm のマイクロバブルが確認された原因として, マイクロバブルが粗大化したこと Air Liquid Microbubble generator Polishing head Polishing pad

52 Diameter of the Micro-bubble[nm] Number of the DLS peak[a.u.] Ra surfaceroughness[μm] Diameter of the Micro-bubble[nm] Removal rate[nm/min] Number of the DLS peak[a.u.] が考えられる.Fig.3(d) より, 粒径 1nm と 1μm 付近のマイクロバブルの強度が安定していることが確認された. これより粒径 1nm と 1μm 付近のマイクロバブルは 6 分間程度であれば保持されると考えられる. Fig.4(a) より, マイクロバブル (Air) を用いた SiO2 基板の研磨において, 研磨レートは改善されなかった. 研磨レートの上昇が見られなかった理由として, マイクロバブルがスラリー中に存在する事により, 化学反応層の形成が増長されなかったことや, スラリー微粒子の接触頻度が減尐したことが考えられる.Fig.4(b) より, マイクロバブル (Air) を用いたサファイア基板の研磨において, 表面除去効率の向上は見られなかった. この理由として, 酸化膜 CMP と同様の理由が考えられる. 1.E+6 1.E+5 1.E+4 1.E+3 1.E+2 (2) (1) (3) (2) (1) 1.E Process time[min] (a) Diameter of the Micro-bubble vs Process time (2) (1) (1) (1) (b) Number of the DLS peak vs Process time 1.E+6 1.E+5 1.E+4 1.E+3 1.E+2 1.E+1 (2) (1) (c) Diameter of the Micro-bubble vs Keeping time ater microbubble generation treatment (2) (3) (2) Process time[min] (3)(4) (3)(4)(5) (2) (2) (1) (1) 3 6 Keeping time ater microbubble generation treatment[min] (2) (3) (1) (3) (4) (2) (1) 3 6 (d) Number of the DLS peak vs Keeping time ater microbubble generation treatment Fig.3 Process time of the microbubble generation (a) SiO2 substrate polishing (b) Sapphire substrate polishing Fig.4 Compare of substrate polishing (4) (5) Keeping time ater microbubble generation treatment[min] No bubble No bubble Slurries Slurry with bubbles Slurry with bubbles No bubble Slurries Surrury with bubbles 4. 結言マイクロバブルが粒径 1nm,1nm,1μm 付近に存在することが確認された. マイクロバブルが液中に残存することが確認できた. また, マイクロバブルを Air で生成した場合は研磨性能の改善は見られなかった. 今後はマイクロバブルを N 2,O 2,Ar で生成し, 液中の酸素濃度を測定し実験を行う. また,pH,ORP を測定し研磨実験を行う. [ 謝辞 ] 本研究を行うにあたり, ニッタ株式会社様, ニッタ ハース株式会社様にご指導いただきました. 厚くお礼申し上げます. 参考文献 1) 土肥俊郎ら,21 年度精密工学会熊本地方講演会講演論文,pp.53-54

53 1126 Cu-CMP における温度分布とその影響に関する研究 Study on the influence of the temperature distribution in Cu-CMP 学長岡敦志 ( 九州工業大学 ) 正鈴木恵友 ( 九州工業大学 )K. パナート ( 九州工業大学 ) 木村景一 ( 九州工業大学 ) 株式会社荏原製作所福田明和田雄高檜山浩國福永明 Atsushi NAGAOKA Keisuke SUZUKI, Panart KHAJORNRUNGRUANG, Keiichi KIMURA Kyushu Institute of Technology, kawazu68-4, iizuka-shi, Fukuoka EBARA Corporation Akira HUKUDA Yutaka WADA Hirokuni HIYAMA Akira HUKUNAGA Key Words : CMP, Cu-CMP, temperature, Thermocouple 1. 緒言近年, 超 LSI デバイスの高性能化を実現するため, 素子の微細化による集積度の向上が求められている. 同時に, 配線構造の多層化が進行したため, 積層化にともなう素子内の段差を除去するため, CMP においてはより高い平坦性が必要となる. 高い平坦性を得る手法として CMP (Chemical Mechanical Polishing) 技術が用いられている. CMP とは, 砥粒を含む化学溶液であるスラリーにより, ウェハ表面に化学反応層を形成する化学的作用と, 砥粒により反応層を除去する機械的作用の二つの作用により研磨を進行させる技術である. 現在 CMP 技術においては, 発生する熱により, ポリシング性能に大きな影響を与えることが問題視されている. ここで発生する熱は, 摩擦熱, せん断熱, 化学反応熱などが考えられる. しかし, ウェハ表面の研磨面はポリシングパッドと接触しているため, 温度測定が困難であり, 温度変化メカニズムは明確でない. そこで温度の影響が大きいと考えられている Cu-CMP を用いて温度変化メカニズムの解明を試みた. Cu-CMP とは半導体素子の高速化に伴い, 配線遅延の抑制を行うため, 配線を Al から抵抗率の低い Cu に変更し, 絶縁膜を SiO2 から配線間容量の低い Low k 膜に変更した CMP 技術となる. 本研究では,Cu-CMP における温度分布と材料除去レートの関連性を明らかにすることを目的とする. 今回は,Cu-CMP の被加工面における熱電対の取り付け方法について評価を行なうとともにポリシング中のウェハ面内温度測定を行なったのでその内容について報告する. 2. 熱電対の取り付け方法に関する評価ウェハの温度分布測定において, 本来はウェハ表面の温度を測定しなければならない. しかしウェハ研磨面とポリシングパッドとの接触部の温度測定は直接的な測定は困難である. そのため これまでの研究では熱電対をウェハに埋め込むことにより, ウェハ表面に近い位置で温度分布の測定を行なってきた. しかしながら, この方式では熱電対がポリシングヘッド内に設置されている制約ため着脱が不可能である. そのためウェハ表面における材料除去レートを測定することが困難である. しかし 本研究においては材料除去レートの測定可能な方式を用いる必要がある. そこで今回新たにウェハ裏面に 熱電対を貼りつける方式を用いた. さらに従来の方式と今回用いた方式におけるウェハ表面温度との温度差に関する検証を行なった.Fig.1 に検証に用いた装置の概要図を示す. 温度上昇は加熱器を用いて行った. ウェハ表面に薄膜熱電対を貼りつけ測定し, 表面温度の基準とした. また同時に従来の方式と新たな方式における温度の測定を行い, 温度上昇値の比較を行った.Fig.2 に検証結果を示す. 初期温度を とする. 検証の結果, 埋め込み方式では表面温度より 1~2 程度低く, さらに貼り付け方式と比較した場合, 埋め込みよりも.5 程度低くなることが確認された. この検証結果より, 表面温度と比較すると埋め込み方式, 貼り付け方式それぞれ温度差が大きいため, 温度測定実験を行うにあたり, 温度補正を行う必要があることが分かった. 実験では熱電対貼り付け方式を採用しウェハ面内温度測定を行った. Fig.1 Schematic of device Fig.2 Variation of temperature of the wafer at the cased of Wafer surface, Embedding thermo couple, Attachment thermo couple

54 3. 実験方法 Fig.3 に実験装置の概要図を示す. スラリーをポリシングパッド中央からウェハとポリシングパッドの間に流し, ウェハ及びポリシングパッドを相互回転させ研磨を進行させている. ウェハはキャリアヘッドにより保持加圧されている. またキャリアヘッド上部に無線通信装置に設置している. そして実験中に得られた測定データを無線通信装置により PC へとリアルタイムに送信する. Tab.1 に実験条件を示す. CMP 処理時のポリシングパッド表面温度に影響をあたえる要因として, スラリーによる冷却作用, ウェハおよびポリシングパッドの回転による摩擦熱が考えられる. ここではパッド及びウェハの回転数を毎分 6 回転とし, 研磨圧力を,34.45kPa と変化させ, スラリー流量を毎分 1ml とした. ウェハはφ 2mm, 厚さ 1.5μm の Cu 膜付きウェハを使用し,2.5 分間研磨を行った. Fig.4 に熱電対貼りつけ位置を示す. ウェハの中心を C とし, 中心から半径方向 45mm 地点の 3 か所を B1,B2,B3, 中心から半径方向 9mm 地点の 3 か所を A1,A2,A3 とする. Tab.1 Experimental condition Cu(φ2[mm], Object Thickness1.5[μm]) Pad rotation [min -1 ] 6 Wafer rotation [min -1 ] 6 Polishing pressure [kpa], 34.45(5[psi]) Slurry flow rate [ml/min] 1 4. 結果及び考察 Fig.5 に圧力を変化させた場合の測定点の平均の温度上昇を比較したグラフを示す. 初期温度を としている. 温度が時間経過に伴って高くなる傾向が確認された. また圧力がない場合はウェハ面内の温度上昇はほとんどみられなかった. このことからウェハ面内温度の圧力依存性を確認することができた. Fig.6 に圧力を 34.45kPa とした場合のポリシング開始 12 秒間の測定点における温度の変化を示す. このとき温度上昇率は時間経過に伴い緩やかに減少する. 温度は 5~6 上昇し, 温度変化の傾向はどの測定点においても同様である. このことからウェハ面内は誤差が発生するものの均一な温度分布となっていると考えられる. Fig.5 Temperature vs Time The temperature were adopted average values of 7points on the wafer Fig.3 Schematic of CMP device Fig.4 Measurement points 5. 結言 Fig.6 Temperature vs Time The temperature change of measurement points Cu-CMP を用いて, 研磨時に発生する熱がポリシン グ性能に与える影響について検討するため,Cu-CMP の被加工面における熱電対の取り付け方法について評 価を行なうとともにポリシング中のウェハ面内温度測 定を行なった. 熱電対の取り付け方法の評価に関して, 埋め込み方式と貼り付け方式はともにウェハ表面温度 と温度差があるため, 温度補正を行う必要があること が分かった. またポリシング中のウェハ面内温度の圧 力依存性及び温度変化の均一性を確認した. 6. 参考文献 1) 杉本文利他 3 名 : 酸化膜 CMP におけるウェハ温度の in situ モニタリング, 電子情報通信学会技術研究報告 Vol.94, No.194 (1994) pp. 1-6

55 1127 酸化膜 CMP におけるスラリー中微粒子の凝着挙動に関する研究 Study on adhesion behavior of fine particles in Oxide-CMP 学迫田卓 ( 九工大 ) 学出井良和 ( 九工大 ) 指導教員 正木村景一正カチョーンルンルアン パナート正鈴木恵友 Suguru Sakoda (Kyusyu Institute of Technology) Yoshikazu Idei (Kyusyu Institute of Technology) Keiichi Kimura(Kyusyu Institute of Technology) Panart Khajornrungruang(Kyusyu Institute of Technology) Keisuke Suzuki(Kyusyu Institute of Technology) Key Words :Oxide-CMP, Evanescent field, Ceria, Adheshion 1. 諸言近年,ULSI は性能向上のための微細化と多層配線化が図られている. この多層配線構造の形成に当たって, 表面を平坦化する技術として CMP(Chemical Mechanical Polishing) 技術が用いられている.CMP とはスラリーと呼ばれる研磨溶液の化学的作用により表面に化学反応膜を形成し, これをスラリー中の研磨微粒子の作用により除去する技術である. CMP プロセスにおける低コスト化と高制御化の要求を達成するに当たり, 材料除去メカニズムの解明が求められている. 酸化膜 CMP における材料除去メカニズムとして, 水和層表面の化学反応膜分子にスラリー中の研磨微粒子が凝着, その後転動することにより反応膜分子を除去するモデル 1) を支持している. このモデルに従って微粒子の凝着, 転動による材料除去を考慮した際に, 材料除去作用を高める要因を明らかにすることを研究目的とする. 本研究では, エバネッセント光を用いて,SiO2 膜近傍でのスラリー流動下における微粒子の挙動を観察し, 凝着する粒子数の変化を確認した. 2. エバネッセント光の利用スラリー中の研磨微粒子の挙動を, エバネッセント光 (Evanescent field) を利用し観察を行ったのでその発生原理について説明する. 屈折率 n 1 の媒質 1 から屈折率 n 2 の媒質 2 へ光が進む時 (n 1 >n 2 ), 入射角が式 (1) に示す臨界角以上に達すると光は境界面で全反射する. この全反射発生領域において媒質 2 への光のしみ出しが発生する. この光をエバネッセント光と言う. エバネッセント光は境界面近傍の限定された領域にのみ局在する光であり,Fig.1 中 x 軸方向に進行し, 境界面での電場の強さが 1/e 倍にまで減衰するときの深さ d は式 (2) で表される.z 軸方向へは指数関数的に減衰する. この領域に研磨微粒子がある場合, エバネッセント光により研磨微粒子を散乱光として捉えることができるため境界面近傍における研磨微粒子の挙動観察が可能となる. 9 >θ 1 >θ c θ c = sin -1 (n 2 /n 1 ) - 式 (1) d = λ[2π(n 1 2 sinθ 1 2 n 2 2 ) 1/2 ] - 式 (2) n 1 : 媒質 1 の屈折率 n 2 : 媒質 2 の屈折率 θ 1 : 入射角 λ: 入射光の波長 θ c : 臨界角 Fig.1 Evanescent field 3. 微粒子の凝着挙動の観察 3.1 実験方法実験装置の構成を Fig.2 に, 観察条件を Table1 に示す. 基本条件を純水 (ph7), 液相厚さ.1[mm]. ポリシングパッドコンディショニング時のダイヤモンド砥粒番手 #325 とした. セリアスラリーを観察対象とし, 微小隙間でのスラリー流動下における微粒子の挙動を観察した. 実験では, ダブプリズムとパッドの間にシリコンゴムシートを挟み, 中空にすることで流路を形成し, ここに純水 (ph7) または, KOH 溶液 (ph1.5) を満たした状態から, スラリーを 2[ml/min] の流量で供給した. また, アスペリティが無いポリシングパッドを想定して, ポリシングパッドの代わりに, ステンレス板を用いて同様の実験を行った. この時の SiO2 膜表面近傍の微粒子の挙動を, エバネッセント光 (Fig.2(c)) を利用して高速度カメラにより撮影した (Fig.2(a)). Table 1 Experimental conditions Slurry Ceria particle diameter nm 5 Volume flow ml 3 /min 2 ph 7, 1.5 Liquid thickness mm.1,.3 Diamond grain size (In conditioning) #325, #2,#1,no pad Laser He-Ne Laser Digital camera FASTCAM-124PCI (Photron Ltd.) Observed area µm 2 99

56 4. パッド表面形状の評価 4.1 観察方法 3.2 項ではポリシングパッドのアスペリティ形状と凝着する粒子数に関係のあることが分かった. アスペリティ形状が及ぼす影響を確認するため,KEYENCE 社製の共焦点レーザー顕微鏡 (VK-97) を用いてポリシングパッドの表面形状を測定した. 観察範囲は 5μm77μm であった. コンディショニング時のダイヤモンド砥粒番手が #1,#2,#325 のものを観察対象とした. Fig.2 The experimental set up of conforming adhesion particles 3.2 実験結果 Fig.3 に観察結果を示す. スラリーの ph を上げると SiO2 プリズムへの微粒子の凝着量は減少した. これはセリアと SiO2 のゼータ電位が共に負に帯電し, 互いの電位差が大きくなることから, 微粒子, 研磨面間に働く斥力が大きくなったためと考えられる 2),3). 液相厚さを厚くすると凝着する粒子数は少なくなった. これは, ポリシングパッド表面形状の作り出すスラリーの不整流の影響がガラス表面では小さくなるためと考えられる. ポリシングパッドのコンディショニング条件をダイヤモンド砥粒番手 #325,#2,#1 へと変化させたとき, プリズム表面に凝着する粒子数は 16, 8,4 と減少し, ポリシングパッド非装着の際には, 微粒子は観察できなかった. ポリシングパッド表面のアスペリティ形状が微粒子の凝着する粒子数と関係していると考えられる. 4.2 観察結果測定データを元に, ポリシングパッド最高点からある深さまでの領域が, 観察領域全体に対して占める割合 ( 面積占有率 ) を算出した. この際の深さ方向の測定レンジは 5μm 間隔である.Fig.4 にその結果を示す.x 軸が面積占有率を示し,y 軸がポリシングパッドの最高点からの深さを示す. また, 各図の右側にそれぞれの測定したポリシングパッドの断面模式図を示した. このようにポリシングパッド最高点から深さ 2μm までの範囲に注目すると, ダイヤモンド砥粒番手 #1 では面積占有率は 3.7%, ダイヤモンド砥粒番手 #2 では 8.8%, ダイヤモンド砥粒番手 #325 では 24.3% であった. これは, 小さいダイヤモンド砥粒番手を使用すると微小な凹凸が増加することを示している. 従って, 前項の実験結果からポリシングパッド表面に微小な凹凸が増加すると, プリズム表面近傍 2μm までの範囲に不整流がさらに発生し, プリズム表面に凝着する粒子数は増加したといえる. Standard condition Subtraction data(between sec and.37sec) number of fine particles (a)conditioning #1 ph:7 liquid thickness:.1 conditioning:#325 3μm 16 Case of ph 1.5 ph:1.5 liquid thickness:.1 conditioning:#325 3μm 11 (b)conditioning #2 Case of liquid thickness.3 ph:7 liquid thickness:.3 conditioning:#325 3μm 1 Case of condition #2 ph:7 liquid thickness:.1 conditioning:#2 Case of condition #1 ph:7 liquid thickness.1 conditioning:#1 3μm 3μm Fig.3 observed adhesion particles during flowing 8 4 (c)conditioning #325 Fig.4 depth occupation area ratio 5. 結言 ph1.5 から ph7 へと変化させたとき, 液面厚さ.3mm から.1mm へと変化させたとき, ポリシングパッドコンディショニング時のダイヤモンド砥粒番手が小さいとき, プリズム表面に凝着する粒子数は増加した. また, プリズム表面に凝着する粒子数はアスペリティの微小な凹凸が多いほど増加し, 材料除去作用を高める要因であると考えられる. 参考文献 1) 木村景一 : CMP プロセスにおける材料除去メカニズム, [CMP 技術大系 ], P68-P74 2) 尾畑成造 : 静電吸着法による酸化セリウム - ベーマイト複合粒子の作製 3) ベルナール パコ他 : セリアスラリーによる酸化膜平坦化 CMP に関する基礎的検討

57 1128 FFT 解析を用いた CMP 用ポリシングパッド表面形状評価に関する研究 Study on evaluation of polishing pad surface topography using Fast Fourier Transform 学武田暁 ( 九工大 ) 学櫛田高志 ( 九工大 ) 指導教員 正木村景一正カチョーンルンルアン パナート正鈴木恵友 Satoru Takeda (Kyushu Institute of Technology) Takashi Kushida (Kyushu Institute of Technology). Keiichi Kimura (Kyushu Institute of Technology) Keisuke Suzuki (Kyushu Institute of Technology) Panart Khajornrungruang (Kyushu Institute of Technology) Key Words : Chemical Mechanical Polishing(CMP), Polishing Pad, Fast Fourier Transform 1. 緒言近年, ULSI デバイスの高性能化に伴い, 素子の集積化や多層配線化が進行している. その為, ウェハ表面の凹凸を配線パターン投影レンズの焦点深度以下に収める必要があり, ウェハ表面の平坦化が不可欠である. その平坦化の手法として CMP(Chemical Mechanical Polishing) が用いられる. CMP 加工が進むにつれポリシングパッド表面は初期状態に比べて劣化し, ポリシングレートの低下が生じる. そこでコンディショニングによりポリシングパッド表面をリフレッシュする. しかし, コンディショニング後のポリシングパッド表面形状は, 複雑かつ微小な凹凸により形成されており, 微小凹凸の定量的評価が困難である. そのため, ポリシングパッド表面形状と材料除去レート (Material Removal Rate:MRR) との関連性が未解明であり, コンディショニングの適否の判断が難しいとされている. 本研究では, コンディショニング及びポリシング後のポリシングパッド三次元表面形状特性を空間的フーリエ変換手法 (Fast Fourier Transform : FFT) により微小凹凸の振幅と空間波長を定量的に評価し, ポリシングに最適なコンディショニング条件の選定を目的とする. 本報告ではコンディショニング後のポリシングパッド表面凹凸の FFT 解析結果と MRR の相関について検討したので報告する. 2. 実験手法および実験条件本報告ではコンディショニングされたポリシングパッド表面形状観察に共焦点走査型レーザ顕微鏡 (Confocal Laser Scanning Microscope : CLSM) を採用した. CLSM は三次元表面形状の定量的高さデータが得られ, かつ, 縦軸方向と横軸方向の測定分解能がサブ µm 以下と高いためポリシングパッド表面微小凹凸まで測定可能である. また, 対物レンズを縦軸方向に走査させ, 横軸方向にスキャンさせる. これを繰り返すことによりレーザ光を複数の焦点面から縦軸位置毎の高さ情報を検出する. これによりポリシングパッド微小凹凸全体に焦点の合った高低画像が得られる. CLSM による表面各点高さの定量的データを用いた FFT 解析を行うことで, ポリシングパッド表面において, どの周期的な空間波長の微小凹凸が支配的であるかがわかる. Tab.1 に実験に用いたコンディショナの仕様, Tab.2 にコンディショニング条件, Tab.3 に研磨条件を示す. CLSM によるポリシングパッド表面の解析領域は 133 µm 133 µm とし, 5 箇所の FFT 解析を行い, そのパワースペクトル強度分布の平均値を評価曲線とした. Tab.1 Conditioner specifications Conditioner A B C Conditioner diameter mm φ18 Conditioner type Grain type Spot blocky Grain size µm 52(#325) 85(#2) 17(#1) Tab.2 Conditioning parameters Conditioner revolution min -1 7 Platen revolution min -1 7 Polishing pad IC1/Suba4 Conditioning load N 26.5 Lubricating liquid pure water Break in time min 6 Tab.3 Polishing parameters Wafer 2 mm 2 mm SiO 2 Wafer Platenb and Carrier revolution min -1 7 Polishing pad IC1 / Suba4 Slurry SS-25(Cabot) (KOH, ph11, Silica 12.5 wt%) Polishing pressure kpa 34.5 (5 psi) Polishing time min 3, 6, 9, 12, 15, 実験結果コンディショナ A, B, C でコンディショニングしたポリシングパッドをポリシングパッド A, B, C とし, これらを用いてポリシング実験を行った. ポリシング実験結果を Fig.1 に示す. ポリシングパッド A, B, C 全てにおいてポリシング時間経過に伴い, MRR の低下が見られた. また, コンディショナに電着しているダイヤモンド粒径の違いにより MRR の形態が異なった. 次にポリシングパッド A, B, C の空間波長 3 µm 以下の FFT 解析結果を抽出し, ポリシング時間, 3, 18 分でまとめたものを Fig.2 (a)~(c) に示す. 縦軸がパワースペクトル強度, 横軸が空間波長を表す. Fig.2 よりポリシングパッド A, B, C の表面空間波長 3 µm 以下のパワースペクトル強度分布は, ポリシング時間が経過しても具体的な変化が見られなかった.

58 Correlation coefficient Intensity of power spectrum (1 14 nm 2 ) Intensity of power spectrum (1 16 nm 2 ) 2 そこで Fig.3 (a)~(c) に空間波長が比較的短い 5 µm 以下の領域で比較したものを示す. Fig.3 よりポリシング時間経過に伴い, パワースペクトル強度の減少が見られた. そのため, 5 µm 以下の領域における凹凸の振幅の減少が MRR の低下に大きく関与していると推察できる. 次に Fig.4 に空間波長 1~5 µm 間のパワースペクトル強度の積算値と MRR の関係を示す. しかし, Fig.4 の 3 つの曲線には明確な相関が見られない. この結果より, 空間波長 1~5 µm 間のパワースペクトル強度の積算値だけでは MRR との相関を評価できない. Fig.2, Fig.3 よりダイヤモンド粒径の違いでポリシングパッド表面凹凸のパワースペクトル強度の大きさが異なる. そのため, それぞれのパワースペクトル強度の大きさを相対的に評価する必要がある. 本報告では, 空間波長 1~3 µm 間のパワースペクトル強度の積算に対して, 空間波長 1~L µm 間のパワースペクトル強度の積算が占める割合を空間波長 1~L µm 間の波長構成比率とし, MRR との相関を調べた. Fig.5 に空間波長 1~5 µm 間の波長構成比率と MRR の相関曲線を示す. Fig.5 より 3 つの曲線の相関係数は.83 であり, 高い相関を示した. さらに Fig. 6 より空間波長 L = 3, 6, 1 の相関係数はそれぞれ.75,.8,.71 であった. これらの結果より, ポリシングパッド表面形状における空間波長 1~5 µm の波長構成比率が MRR と高い相関があった. これはポリシングパッド表面形状における空間波長 1~5 µm 間の波長構成比率の高い微小凹凸形状がポリシングに適すると考えられる. (a) Befor polishing Spatial wavelength (μm) (b) (c) After 3min polishing After 18min polishing Fig.2 FFT analysis results in domain of less than 3 μm (a) Befor polishing Spatial wavelength (μm) (b) (c) After 3min polishing After 18min polishing Fig.3 FFT analysis results in domain of 1~5 μm Fig.4 MRR and spatial power spectra in spatial wavelength domain 1~5 µm Fig.1 MRR with conditioned polishing pads 4. 結言コンディショニング後のポリシングパッド表面形状の FFT 解析及び MRR の測定により, 以下のことがわかった. (1) ポリシング時間経過に伴いポリシングパッドの MRR が低下し, 空間波長 1~5 µm のパワースペクトル強度が減少する. (2) ポリシングパッド表面凹凸の空間波長 1~5 µm 間のパワースペクトル強度の積算だけでは MRR との相関を評価できないため相対的な評価が必要である. (3) ポリシングパッド表面形状における空間波長 1~5 µm 間の波長構成比率が高い微小凹凸形状がポリシングに適すると考えられる. Fig.5 MRR and Wavelength composition ratio ( 1~5 µm / 1~3 µm ) [ 謝辞 ] 本研究では以下の助成金を頂いて行っております. 公益財団法人三豊科学技術振興協会平成 22 年度研究助成事業 R12 Fig.6 Correlation of wavelength composition ratio and MRR in each wavelength domain

59 1129 CMP におけるウェハ ポリシングパッド間の液膜厚さに関する研究 Study on the liquid film thickness between wafer and polishing pad in CMP 学有本翔太 ( 九州工業大 ) 正由井隆司 ( 九州工業大学大学院 ) 正鈴木恵友, 正パナート カチョーンルンルアン, 正木村景一 Shouta ARIMOTO, Kyushu Institute of Technology, kawazu68-4,iizuka, Fukuoka Ryuuzi YUI, Kyushu Institute of Technology Keisuke SUZUKI, Kyushu Institute of Technology khajorungruang PANART Kyushu Institute of Technology Keiichi KIMURA, Kyushu Institute of Technology Key Words : CMP, slurry, liquid film thickness 1. 緒言近年, 半導体の微細化の進行に伴い, ウェハ表面の凹凸の許容範囲は年々減尐している. そのため, 平坦化技術として用いられている CMP(Chemical Mechanical Polishing) 技術に対する要求は年々厳しくなっている. 現在,CMP 技術の開発は未解明な部分が多いためプレストンの式に基づきプロセスパラメータの最適化が行われている. その中でも, ポリシング部材に関しても新規ポリシングパッドやスラリーが開発されているが, 現状の技術に大幅な改善はされていない. 従って, 今後ポリシング性能を向上させるには, ポリシング中における現象や材料除去に関する理解に基づき CMP シミュレーションによるポリシング性能の予測をし, パラメータの最適化を行う必要がある. しかし, シミュレーションにおいて液層膜厚が未解明であるため, 経験的仮定で行っているのが現状である. 従来では液層膜圧の相対的評価は行われていた. しかし, 定量的な測定は未だ行われていない. 1) そこで, 本研究ではレーザ変位計を用いて, 研磨中における基板変位の測定を行うことで, ウェハ ポリシングパッド間の液膜厚さの定量的評価を行ったので, その内容について報告する. 2. 実験方法および評価方法実験装置の概略図を Fig.1 に示す. 測定器にレーザ変位計を用い, ウェハの代替として石英ガラス基板を用いた. そして基板上面の変位 (z) を測定し, 基準との変位差を液膜厚さとした. Fig.1 Experimental setup for measuring liquid film thickness 今回の実験では, 回転中に大型研磨機に軸による定盤の位置変動が生じてしまうため, 定量的な測定は不可能であ る. 従って, 卓上小型研磨機の採用を検討し, 軸の安定性を測定した. 軸の測定を Fig.2 に示す. 面振れは生じているが, 軸は 1 μm以下の振れであり, 比較的安定していることが Fig.2 より分かる. Fig.2 Stability of the shaft ポリシングパッドの変形は見られず, 軸の安定性はポリシングパッドを使用した際も確認できたので, 基準の決定が可能であると確認できた. 従って, 定量的測定が可能と考え, この卓上小型研磨機を用い, 液膜厚さの測定を行った. ポリシングパッドは, 発泡構造および無発泡構造ポリシングパッド ( 同心円 + 格子溝 ) を使用した. 実験条件を Tab.1 に示す. 石英ガラス基板と定盤を同一回転 (3,6,9 min -1 ) とし, 液膜厚さの測定を行った. ポリシングパッド 基板間に液膜が存在しない時の変位を基準とした. ここでは, 静止状態で定盤および基板の回転数 3[min -1 ] においては変位の変化が見られなかった. 今回の実験では, この状態に対して純水または SS-25 を滴下することで生じた変位量の差を液膜厚さとした. Tab.1 Experimental conditions Concentric circle & Polishing pad groove pattern Grid ( 2) Slurry Polishing pad D.I.Water / SS-25 Foaming / No foaming Polishing pressure kpa 6.9 ( 1psi) Glass rotation speed min -1 3,6,9 Platen rotation speed min -1 3,6,9

60 3. 実験結果と考察本研究では回転数依存性, ポリシングパッド表面状態, 滴下液をパラメータとし, 比較検討を行った.Fig.3 に発泡構造ポリシングパッド ( 定盤および基板回転数 3 min -1 ) における dry-wet の変位を示す.Fig.3 に発泡構造に D.I.W を滴下した結果を示し, 浮上量は 4~5 m である. グパッドの測定よりも大きかった. この要因としては, ポアによりポリシングパッド上の流れが変化し, 生じている液膜に影響を及ぼしていると考える. 測定箇所を増やし, スラリーの流れ方を詳しく見て, 検討する必要がある. Fig.3 Upper side of quartz glass vs process time at foaming Fig.5 Liquid film thickness vs Rotation speed at foaming また,Fig.4 に無発泡構造に D.I.W を滴下した結果を示す. この場合の浮上量は 1~2 m だった.Fig.4 より浮上量が僅かしか浮上していないことが分かる. Fig.6 Liquid film thickness vs rotation speed at no foaming Fig.4 Upper side of quartz glass vs process time at no foaming 回転数依存性においては, 測定結果より標準偏差, 平均を導出し, 代表点をプロットし, 浮上量とした. 発泡構造ポリシングパッドで生じた浮上量のグラフを Fig.5 に示し, 無発泡構造ポリシングパッドで生じた浮上量のグラフを Fig.6 に示す. また, 発泡構造ポリシングパッドは無発泡構造ポリシングパッドよりも全体的に浮上量の増加が確認できた. この要因として, ポアによる保水性上昇の効果と考えられる. さらに,SS-25 を滴下した場合では,D.I.W を滴下した場合に比べ浮上量は増大した. これは,D.I.W と SS-25 では, SS-25 が D.I.W よりも粘性係数が大きいことが要因と考えられる. SS-25,D.I.W のどちらを滴下した場合も回転数の増加に伴い浮上量の増大を確認できたので, 回転数増加に伴い, 浮上量が増大する傾向においては粘性係数の影響は尐ないものと考える. また, 発泡構造ポリシングパッドの測定において生じた標準偏差が無発泡構造ポリシン 4. 結言レーザ変位計を用いて研磨中におけるウェハ - ポリシングパッド間の液膜厚さの定量的評価を行い, 以下のことがわかった. (1) 1 psi の条件下では, 回転数の増加に伴い, 浮上量は 4~ 5μm だった. しかし, 無発泡構造ポリシングパッド 純水滴下の場合 1~2 μm 程度だった. (2) 発泡構造ポリシングパッドは無発泡構造ポリシングパッドよりも全体的に浮上量が増大した. (3) SS-25 を滴下した場合,D.I.W を滴下した場合に比べ浮上量が増大した. (4) 回転数が増加するにつれて浮上量が増大する傾向が確認できた. 参考文献 1) 深川ら 3 名 :CMP におけるスラリー液層膜圧に関す る研究.211 年日本機械学会九州学生会第 42 回卒 業研究発表会論文集 p

61 113 SiO 2 -CMP における材料除去メカニズムに関する研究 スラリー中微粒子の吸着特性と研磨性能との関係 Study on Material removal mechanism in SiO 2 -CMP Relation between the adsorption characteristic of the particulates in slurry, and polish 学高野祐一 ( 九州工業大 ) 学田中明穂 ( 九州工業大学大学院 ) 正鈴木恵友 ( 九州工業大学大学院 ) 正カチョーンルンルアン パナート ( 九州工業大学大学院 ) 正木村景一 ( 九州工業大学大学院 ) Yuichi TAKANO, Kyushu Institute of Technology, Kawazu 68-4, Iizuka, Fukuoka Akiho TANAKA, Kyushu Institute of Technology, Keisuke SUZUKI, Kyushu Institute of Technology, Panart KHAJORNRUNGRUANG, Kyushu Institute of Technology, Keiichi KIMURA, Kyushu Institute of Technology, Key Words : SiO 2 -CMP, CMP, Slurry, adsorption of particle 1. 緒言 ULSI デバイスの高性能化が進むことにより素子や配線の微細化が進んでいる. 微細化にあたり重要となるのは, ウェハ表面の平坦性であり, ウェハの平坦化手法として CMP 技術が広く適用されている. CMP(Chemical Mechanical Polishing) は化学的作用と機械的作用が複雑に作用しているため CMP による材料除去メカニズムには不明な点が多く, 有効な材料除去のモデルが確立されているとは言えない.CMP ではパッド, スラリー, ウェハの三要素間それぞれに材料除去の役割があり, 材料除去メカニズムを解明する上でスラリー中の微粒子がウェハ表面に及ぼす影響を考慮することは重要である. 本研究では, ウェハとスラリー中の微粒子との関係に着目し, ウェハ表面とスラリー中の微粒子の吸着状態を解明することを目的とした. 本稿では,pH を 1.5, 11.5, 12.5 に調整したスラリーによる SiO 2 ウェハへの滴下実験を行い, 滴下後の SiO 2 ウェハ表面状態を, 共焦点レーザー顕微鏡,FE-SEM(Field Emission - Scanning Electron Microscope) を用いて観察を行ったので報告する. 2. SiO 2 -CMP における材料除去メカニズム SiO 2 -CMP ではスラリーとウェハ表面との間に次式のような化学反応が発生し, ウェハ表層に軟質な化学反応層が形成される. SiO 2 + 2H 2 O Si(OH) 4 (1) スラリー中の SiO 2 粒子が形成された化学反応層に引き寄せられウェハ表面に吸着する. 吸着した SiO 2 粒子とウェハ表面との間に次式のような化学反応が発生し, シロキサン結合が生じる. Si-O - + Si-OH Si-O-Si + OH - (2) この結果,SiO 2 粒子にウェハ表面が凝着する. そして, ウェハとポリシングパッドとの相互回転により SiO 2 粒子がウェハ表面から離脱する際, ウェハ表面の物質が付着し材料除去が発生する 1). この材料除去メカニズムにおける SiO 2 粒子の吸着現象を解明することにより SiO 2 -CMP の材料除去のモデル化へ展開できると考えられる. 3. 実験方法 ウェハ表面とスラリー中の微粒子の吸着状態を観察する為 にスラリー滴下実験を行った. 実験条件を Table.1 に示す. 本 実験ではディップ用の溶液として, KOH 水溶液 ( ph 1.5,11.5,12.5), D.I.Water(pH 7) を用い, スラリーはスラリ ー濃度を 12wt %, 溶液はそれぞれ KOH 水溶液 ( ph 1.5,11.5,12.5) を用いた. 実験装置の概略図を Fig.1 に示す. 本実験ではまず,SiO 2 ウェハを溶液に 3 分間ディップを行う. ディップ後の SiO 2 ウ ェハを傾斜 65 の斜面に立て掛け, スラリーを 1 滴滴下する. その後, 共焦点レーザー顕微鏡,FE-SEM を用いて SiO 2 ウェ ハ表面の観察を行った. また, 共焦点レーザー顕微鏡は VK97(KEYENCE 社製 ), FE-SEM は JSM-65F( 日本電子株式会社製 ) を使用した. Table.1 Experimental Condition Wafer SiO 2 (55[mm 2 ]) Particle SiO 2 (75[nm]) Solution KOH(pH 1.5, 11.5, 12.5) Solution Concentration 12[wt%] Temperature 25[ ] Dipping Solution KOH(pH 1.5, 11.5, 12.5) D.I.Water(pH 7) Dipping Time 3[min] Dropping the Angle of Wafer 65[ ] Confocal Laser Scanning Microscope VK97 (KEYENCE 社製 ) FE-SEM Fig.1 Experimental Device JSM-65F ( 日本電子株式会社製 )

62 4. 実験結果と考察共焦点レーザー顕微鏡により観察した画像を画像処理ソフトにより画像処理を行った画像を Fig.2 に示す. また, ウェハ表面全体に対する微粒子が吸着している面積の比率を表したグラフを Fig.3 に示す. D.I.water でディップを行った後, スラリーを滴下した場合の吸着面積比率は phが 1.5,11.5,12.5 の時,.248%,2.71%, 5.744% であった. スラリーの ph が 1.5 と 12.5 では, 吸着面積比率は約 5[%] の違いしか見られず,D.I.water でディップを行うことによる粒子の吸着に与える影響は小さいと考えられる. また,KOH 水溶液でディップを行った場合では,25.43%, 34.28%,58.589% であり,D.I.water でディップを行った場合と比較し, 吸着面積比率は高くなっている. これは,KOH 水溶液でディップを行ったことにより, 化学反応層の生成が促進された為であると考えられる. 次に, ディップ用溶液として KOH 水溶液 (ph 1.5,11.5,12.5) を用いて滴下実験を行った SiO 2 ウェハ表面の様子を FE-SEM により観察した画像を Fig.4 に示す. スラリーを滴下したことによりウェハ表面上にスラリー中の微粒子が吸着していることが確認できる. また,pH が高くなるにつれ, 吸着量が増加した. これは ph が高くなることで化学反応が活発になり, 吸着量が増加した為であると考えられる. また,pH12.5 の場合では微粒子は何層にも重なって吸着している. これは表面に吸着した微粒子にも Si(OH) 4 の反応層が生成され, 微粒子が次々と堆積し, 吸着した為であると考えられる. Fig.3 Difference in Adsorption Due to Changes in ph (a) before (b) ph 1.5 D.I.Water KOH 1.5 (c) ph 11.5 (d) ph 12.5 Fig.4 SiO 2 Particles Adsorbed on Wafer Surface by using FE-SEM 5. 結言 ウェハ表面とスラリー中の微粒子の吸着状態を解明するた め滴下実験を行い, 以下の結果が得られた Fig.2 SiO 2 Particles Adsorbed on Wafer Surface by Image Processing (1) D.I.water でディップを行った後, スラリーを滴下した場合の吸着面積比率は ph が 1.5,11.5,12.5 の時,.248%,2.71%,5.744% であった. (2) KOH 水溶液でディップを行った後, スラリーを滴下した場合の吸着面積比率は 25.43%,34.28%, % であった. (3) KOH 水溶液でディップを行った場合, 吸着の様子は ph に依存し,pH が高いほど吸着量は多くなる. 参考文献 1) 橋山雄一ほか :CMP プロセスにおける材料除去モデルの研究第四報 -スラリー中の微粒子の機能に関する研究-, 日本機械学会 (21), pp

63 1131 燃料電池電極材料用耐久性めっき皮膜の開発 - コバルトマトリクスめっき皮膜の形成 - Development of high durable plating for fuel cell -Cobalt matrix plating- 正柳原聖 ( 有明高専 ) 学福岡翔太 ( 有明高専 ) Kiyoshi YANAGIHARA and Shota FUKUOKA Ariake National College of Technology, Higashihagio-machi, Omuta-shi, Fukuoka Key Words : Coating, Solid Oxide Fuel Cell, Plating, Cobalt 1. はじめに固体酸化物型燃料電池 (SOFC) 低コスト化を目的として, 電極等の貴金属ではなくステンレス材料の利用が検討されている (1). しかしステンレス鋼は SOFC の高温動作領域ではでは内部組織の劣化が懸念され, ステンレス鋼に含まれる Cr が表出し環境に放出されてしまうことでクロム被毒の危険性が指摘されている (2). このクロムの表出を抑制するために著者らはこれまでの研究においてニッケルめっきの適用を行ってきたが, 近年, 高温環境下における安定性や接触抵抗の低下を目的として Co-Mn 系のコーティングプロセスが注目されている. そこで本研究においてはステンレス鋼板に適用可能な Co めっきの開発を行うことにした. Table1 Compositions of plating bath Co Strike Plating Co Plating CoCl 2 CoSO 4 HCl CoCl 2 B(OH) 3 Power supply 2. コバルト電解めっき行程めっきは被めっき材料を陰極に接続しめっき浴に浸し, 通電させることで, めっき浴中に含まれる金属イオンを析出させてコーティングする技術である. 図 1 に基本的な工程を示す. 最初に試験片を脱脂する. 次にコバルトストライクめっきと呼ばれる下地めっきを施す. このストライクめっきを行う理由は, ステンレス鋼には不働体被膜といわれる nm オーダーの酸化膜が形成されており, 本めっきの密着性を阻害するからである. このストライクめっきでは被めっき材の表面の酸化膜を除去する程度の厚さで良い. そして最終的に数から数十 μm のコバルトめっき被膜が得られるようにする. なおコバルトめっき, コバルトストライクめっきの主たる成分は表 1 になる. 被めっき材となる試験片には SOFC に利用されるステンレス板 (( 株 ) 住友金属製 NAR) 板厚.5mm を使用する めっき時の電流密度は 3A/dm 2 とした.Fig.2 に実験装置を示す. めっき浴はマグネティックホットスターラーにより加熱されながら撹拌される. 電流は電源ユニットで電流密度を一定に保ちながらめっきできるようにしている. このような浴と実験装置でコバルト被膜が得られる. 12V 12V Rinsing Co strike plating Co plating Fig.1 Basic electro-plating process Hot stirer Fig.2 Experimental Set-up 3. 実験結果 3.1 めっき条件の検討電析による被膜形成は陰極から電荷を金属イオンに渡すことにより進む. よって, 電気化学反応を促進するためにめっき浴の成分が分解しない範囲で浴の温度を高く保ちつつ, 電極で発生する水素ガスの離脱を早められるように撹拌流を形成することが重要になる. そこでまず, ホットスターラーによる撹拌回転速度と得られるめっき被膜厚さを調べた. 結果を Fig.3 に示す. なお, このときの条件としては 液温 2[ ], めっき時間 2[min] で実験した. 図から攪拌回転数が 45[rev/min],6[rev/min] あたりで被膜厚さが最大となっていることがわかる. 次にめっき浴温度と被膜厚さの関係を調べたものが Fig.4 になる. このときの攪拌速度は Fig.3 の実験で被膜の状態がよく, 厚さも得られた 45[rev/min] で実験を行っている. 図から, 液温の上昇にともなってめっき被膜析出も増加することがわかる. Fig.5 は Fig.3 と Fig.4 の結果から撹拌速度 45[rev/min], 液温 7[ ] としながら, めっき時間と被膜厚さの関係を調べた結果である. この結果から,2 分程度のめっき時間で, 最大値と最小値で 2μm の幅があるものの, 平均 2μm のコバルトめっき被膜が得られることがわかる. 3.2 めっき被膜の評価前節でコバルトめっき被膜の析出量にばらつきが認められたことから, どのような被膜が形成できているのか SEM

64 による表面観察を行うことにした.Fig.6 はめっき液温 Fig.6 SEM Image of Co plating surface(5) Fig.3 Thickness V.S. stiring rev. (a) Strike 1[min] (b) Strike 5[min] Fig.7 Evaluation of adhesiveness を行ったのが (b) になる.(b) ではマス目が全く剥がれておらず密着性の改善をはかることができた. Fig.4 Thickness V.S. bath temp. Fig.5 Thickness V.S. time. 7[ ], 攪拌数 45[rev/min], めっき時間 1[min] で得られたコバルト電解めっき被膜の SEM 画像である 表面を見ると大きさ 1~2μm 程の細かな粒子によって皮膜が成形され凹凸が生じていることがわかる. 電解めっきでは電解の強さによって表面の凸部ほど被膜が析出しやすくなる傾向がある. よって, 被膜厚さのばらつきを抑制するにはこのような析出状態を考慮した上で, 材料の全加工面の精度に配慮する必要がある. 次に得られたコバルトめっき被膜の密着性を評価するために JIS K56 の クロスカット法 を行った.Fig.7(a) はストライクめっき 1 分の条件による結果であるが, 全てのマス目がはがれてしまっていた. そこで 1 分の下地めっき処理では不働体被膜を完全に除去できていないと判断し, ストライクめっき工程を 5 分にして本めっきを施し, 評価 4. おわりに固体酸化物型燃料電池 (SOFC) 低コスト化を目的として, ステンレス電極部材用 Co めっき被膜の開発を行った. 得られた結果を下記に示す. (1) 硫酸コバルトを基本とするストライクめっき浴とコバルトめっき浴によりステンレス鋼板上にコバルトめっき被膜を形成できることが確認された. (2) 種々の基礎めっき条件を検討した結果, 電流密度 3A/dm 2 において 2 分で平均 2μm の被膜が得られることがわかった. (3) SEM による表面観察から (2) のめっき条件では, 1-2μ m の粒子がコバルトの被膜が析出していることがわかった. (4) クロスカット法による密着性評価を行ったところ, 本めっきの前のストライクめっき工程を 5 分にすればよいことがわかった. 本研究は H23 年度有明高専校長裁量研究経費の助成によって行われました. 文献 (1) Yusuke, T., et.al., Development of Electroless Plating Process to Improve Durability of Fuel Cell Electrodes, Asian Symposium for Precision Engineering and Nanotechnology 29,2C (2) Puranen, J., et.al., Mangamese-cobalt spinel coatings for SOFC metallic interconnects manufactured by conventional plasma spraying (PS) and suspension plasma spraying (SPS), Proceedings of ASME th Fuel Cell Science, Engineering and Technology Conference,FuelCell

Mirror Grand Laser Prism Half Wave Plate Femtosecond Laser 150 fs, λ=775 nm Mirror Mechanical Shutter Apperture Focusing Lens Substances Linear Stage

Mirror Grand Laser Prism Half Wave Plate Femtosecond Laser 150 fs, λ=775 nm Mirror Mechanical Shutter Apperture Focusing Lens Substances Linear Stage Mirror Grand Laser Prism Half Wave Plate Femtosecond Laser 150 fs, λ=775 nm Mirror Mechanical Shutter Apperture Focusing Lens Substances Linear Stage NC Unit PC は 同時多軸に制御はできないため 直線加工しかでき 図3は ステージの走査速度を

More information

EOS: 材料データシート(アルミニウム)

EOS: 材料データシート(アルミニウム) EOS EOS は EOSINT M システムで処理できるように最適化された粉末状のアルミニウム合金である 本書は 下記のシステム仕様により EOS 粉末 (EOS art.-no. 9011-0024) で造形した部品の情報とデータを提供する - EOSINT M 270 Installation Mode Xtended PSW 3.4 とデフォルトジョブ AlSi10Mg_030_default.job

More information

2 図微小要素の流体の流入出 方向の断面の流体の流入出の収支断面 Ⅰ から微小要素に流入出する流体の流量 Q 断面 Ⅰ は 以下のように定式化できる Q 断面 Ⅰ 流量 密度 流速 断面 Ⅰ の面積 微小要素の断面 Ⅰ から だけ移動した断面 Ⅱ を流入出する流体の流量 Q 断面 Ⅱ は以下のように

2 図微小要素の流体の流入出 方向の断面の流体の流入出の収支断面 Ⅰ から微小要素に流入出する流体の流量 Q 断面 Ⅰ は 以下のように定式化できる Q 断面 Ⅰ 流量 密度 流速 断面 Ⅰ の面積 微小要素の断面 Ⅰ から だけ移動した断面 Ⅱ を流入出する流体の流量 Q 断面 Ⅱ は以下のように 3 章 Web に Link 解説 連続式 微分表示 の誘導.64 *4. 連続式連続式は ある領域の内部にある流体の質量の収支が その表面からの流入出の合計と等しくなることを定式化したものであり 流体における質量保存則を示したものである 2. 連続式 微分表示 の誘導図のような微小要素 コントロールボリューム の領域内の流体の増減と外部からの流体の流入出を考えることで定式化できる 微小要素 流入

More information

untitled

untitled インクジェットを利用した微小液滴形成における粘度及び表面張力が与える影響 色染化学チーム 向井俊博 要旨インクジェットとは微小な液滴を吐出し, メディアに対して着滴させる印刷方式の総称である 現在では, 家庭用のプリンターをはじめとした印刷分野以外にも, 多岐にわたる産業分野において使用されている技術である 本報では, 多価アルコールや界面活性剤から成る様々な物性値のインクを吐出し, マイクロ秒オーダーにおける液滴形成を観察することで,

More information

3D プリンタにより作製した樹脂部品の強度に関する研究 尾形正岐 阿部治 長田和真 西村通喜 山田博之 渡辺誠 Study on Strength of Resin Materials Processed by Fused Deposition Modeling Printer Masaki OGA

3D プリンタにより作製した樹脂部品の強度に関する研究 尾形正岐 阿部治 長田和真 西村通喜 山田博之 渡辺誠 Study on Strength of Resin Materials Processed by Fused Deposition Modeling Printer Masaki OGA 3D プリンタにより作製した樹脂部品の強度に関する研究 尾形正岐 阿部治 長田和真 西村通喜 山田博之 渡辺誠 Study on Strength of Resin Materials Processed by Fused Deposition Modeling Printer Masaki OGATA, Osamu ABE, Kazuma OSADA, Michiyoshi NISHIMURA,

More information

室 日 A B C D E F G H I J K L M N O P Q セッションプログラム 第 1 日第 2 日第 3 日 午前午後午前午後午前午後 デジタルエンジニアリング A05 A08 ナノ表面研削 / ELID 研削 C05 C08 サイバーフィールド構築技術 (1) F02 F04 サイバーフィールド構築技術 (2) F06 F09 高能率 高精度化のための切削工具 (1) G01

More information

<8B5A8F70985F95B632936EE7B22E696E6464>

<8B5A8F70985F95B632936EE7B22E696E6464> 47 Electrical Discharge Truing for Electroplated Diamond Tools Koji Watanabe Hisashi Minami Hatsumi Hiramatsu Kiyonori Masui (211 7 8 ) Electroplated diamond tools are widely used for grinding because

More information

1.1 テーラードブランクによる性能と歩留りの改善 最適な位置に最適な部材を配置 図 に示すブランク形状の設計において 製品の各 4 面への要求仕様が異なる場合でも 最大公約数的な考えで 1 つの材料からの加工を想定するのが一般的です その結果 ブランク形状の各 4 面の中には板厚や材質

1.1 テーラードブランクによる性能と歩留りの改善 最適な位置に最適な部材を配置 図 に示すブランク形状の設計において 製品の各 4 面への要求仕様が異なる場合でも 最大公約数的な考えで 1 つの材料からの加工を想定するのが一般的です その結果 ブランク形状の各 4 面の中には板厚や材質 第部 1 レーザ加工を活用した工法転換ノウハウ 第 1 章 コスト削減 1.1 テーラードブランクによる性能と歩留りの改善 最適な位置に最適な部材を配置 図 1-1-1 に示すブランク形状の設計において 製品の各 4 面への要求仕様が異なる場合でも 最大公約数的な考えで 1 つの材料からの加工を想定するのが一般的です その結果 ブランク形状の各 4 面の中には板厚や材質の仕様が不十分になる場合や 反対に十分すぎる場合が生じました

More information

伝熱学課題

伝熱学課題 練習問題解答例 < 第 章強制対流熱伝達 >. 式 (.9) を導出せよ (.6) を変換する 最初に の微分値を整理しておく (.A) (.A) これを用いて の微分値を求める (.A) (.A) (.A) (.A6) (.A7) これらの微分値を式 (.6) に代入する (.A8) (.A9) (.A) (.A) (.A) (.9). 薄い平板が温度 で常圧の水の一様な流れの中に平行に置かれている

More information

Microsoft Word - kojima.doc

Microsoft Word - kojima.doc 小径ボールエンドミルによる 3 次元曲面加工の高精度化 小島龍広 1), 扇谷保彦 2), 矢澤孝哲 1) 長崎大学工学部教育研究支援部 2) 長崎大学工学部機械システム工学講座 2) 1. 緒言金型加工では製品の高性能化や小型化に伴い, 複雑形状を高精度に効率よく加工する必要性が高まっている. 金型加工には, 従来, 放電加工機が用いられてきたが, マシニングセンタおよび性能の向上に伴い, 仕上げ加工までを小径ボールエンドミル加工で効率的に行うことが増えてきている.

More information

Microsoft PowerPoint - hetero_koen_abe.ppt

Microsoft PowerPoint - hetero_koen_abe.ppt ヘテロ表面ダイによるしごき加工性の向上 豊橋技科大安部洋平 パンチ しわ押え 焼付き 電気自動車 素板 深絞りダイス (a) 工具鋼 SKD11 二次電池用ケースステンレス鋼板 しごき加工 しごきダイス (b) TiCN サーメット TiCN サーメットダイスは耐焼付き性が高く有効 良好 パンチ ヘテロ表面サーメットダイ しごき加工後容器 しごきダイス 容器 ラッピング 潤滑剤 ダイ (a) ラッピング

More information

Tungsten Carbide End Mills UNIMAX Series

Tungsten Carbide End Mills UNIMAX Series 2 ( の順に推奨) SS40 S45C S55C SK SCM SUS 30~45 HRC NAK HPM ~55 HRC HRC ~60 HRC ~70 鉄鋼材加工用 C-CES2000 2 枚刃スクエア 18 30 φ0.1~φ20 コスト重視 加工面重視のマルチプレイヤー C-CES4000 4 枚刃スクエア 32 30 φ1~φ20 コスト重視 加工面重視のマルチプレイヤー 高能率加工用

More information

1011複合加工機.indd

1011複合加工機.indd 複合加工機用ツーリングシステム HSK ー T 40 ー T 50 ー T 63 ー T100 SHANK 複合加工機用のホルダに HSK シャンクをご指定ください 複合加工機用インターフェース委員会 1011J 複合加工機 Turning mills 自動工具交換 (ATC) 付複合加工機は 旋盤機能に加えミーリング機能を併せ持った工作機械です メリット マシニングセンタ級のミ リング機能 工程集約による時間短縮

More information

Microsoft PowerPoint - Š’Š¬“H−w†i…„…C…m…‰…Y’fl†j.ppt

Microsoft PowerPoint - Š’Š¬“H−w†i…„…C…m…‰…Y’fl†j.ppt 乱流とは? 不規則運動であり, 速度の時空間的な変化が複雑であり, 個々の測定結果にはまったく再現性がなく, 偶然の値である. 渦運動 3 次元流れ 非定常流 乱流は確率過程 (Stochastic Process) である. 乱流工学 1 レイノルズの実験 UD = = ν 慣性力粘性力 乱流工学 F レイノルズ数 U L / U 3 = mα = ρl = ρ 慣性力 L U u U A = µ

More information

エラー動作 スピンドル動作 スピンドルエラーの計測は 通常 複数の軸にあるセンサーによって行われる これらの計測の仕組みを理解するために これらのセンサーの 1つを検討する シングル非接触式センサーは 回転する対象物がセンサー方向またはセンサー反対方向に移動する1 軸上の対象物の変位を測定する 計測

エラー動作 スピンドル動作 スピンドルエラーの計測は 通常 複数の軸にあるセンサーによって行われる これらの計測の仕組みを理解するために これらのセンサーの 1つを検討する シングル非接触式センサーは 回転する対象物がセンサー方向またはセンサー反対方向に移動する1 軸上の対象物の変位を測定する 計測 LION PRECISION TechNote LT03-0033 2012 年 8 月 スピンドルの計測 : 回転数および帯域幅 該当機器 : スピンドル回転を測定する静電容量センサーシステム 適用 : 高速回転対象物の回転を計測 概要 : 回転スピンドルは 様々な周波数でエラー動作が発生する これらの周波数は 回転スピード ベアリング構成部品の形状のエラー 外部影響およびその他の要因によって決定される

More information

POCO 社の EDM グラファイト電極材料は 長年の技術と実績があり成形性や被加工性が良好で その構造ならびに物性の制御が比較的に容易であることから 今後ますます需要が伸びる材料です POCO 社では あらゆる工業製品に対応するため 各種の電極材料を多数用意しました EDM-1 EDM-3 EDM

POCO 社の EDM グラファイト電極材料は 長年の技術と実績があり成形性や被加工性が良好で その構造ならびに物性の制御が比較的に容易であることから 今後ますます需要が伸びる材料です POCO 社では あらゆる工業製品に対応するため 各種の電極材料を多数用意しました EDM-1 EDM-3 EDM POCO 社の EDM グラファイト電極材料は 長年の技術と実績があり成形性や被加工性が良好で その構造ならびに物性の制御が比較的に容易であることから 今後ますます需要が伸びる材料です POCO 社では あらゆる工業製品に対応するため 各種の電極材料を多数用意しました EDM-1 EDM-200 EDM-200 EDM-200 INDEX EDM グラファイトの分類 電極材料選択の主要ファクタ P2

More information

FANUC i Series CNC/SERVO

FANUC i Series CNC/SERVO + Series CNC/SERVO * * 2 * * 3 Series 0+-MODEL F * * * Series 30+/31+/32+/35+-MODEL B * Power Motion +-MODEL A * PANEL +H * PANEL +H Pro * MT-LINK+ * MT-LINKi 4 サーボラインアップ @*-B series SERVO α*-bシリーズサーボは

More information

技術資料 JARI Research Journal OpenFOAM を用いた沿道大気質モデルの開発 Development of a Roadside Air Quality Model with OpenFOAM 木村真 *1 Shin KIMURA 伊藤晃佳 *2 Akiy

技術資料 JARI Research Journal OpenFOAM を用いた沿道大気質モデルの開発 Development of a Roadside Air Quality Model with OpenFOAM 木村真 *1 Shin KIMURA 伊藤晃佳 *2 Akiy 技術資料 176 OpenFOAM を用いた沿道大気質モデルの開発 Development of a Roadside Air Quality Model with OpenFOAM 木村真 *1 Shin KIMURA 伊藤晃佳 *2 Akiyoshi ITO 1. はじめに自動車排出ガスの環境影響は, 道路沿道で大きく, 建物など構造物が複雑な気流を形成するため, 沿道大気中の自動車排出ガス濃度分布も複雑になる.

More information

製品カタログ コロミル745

製品カタログ コロミル745 森一産業ホームページ掲載商品の資料をご覧頂き ありがとうございます ご不明の点などございましたら お気軽に電話 メールなどでお問い合わせ下さい 問い合わせ先 : 電話 041221( 大阪 ) 0221181( 新潟 ) :FX 0412302( 大阪 ) 0221140( 新潟 ) :EMIL info@mori1.net なお 検索等で直接 このページをご覧になって頂いている方は もしよろしければ弊社ホームページを一度ご訪問いただきたく存じます

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生

0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生 0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生まれ, コンピューテーショナルフォトグラフィ ( 計算フォトグラフィ ) と呼ばれている.3 次元画像認識技術の計算フォトグラフィへの応用として,

More information

円筒歯車の最適歯面修整の設計例 適正な歯面修整で負荷容量の増大を目指すー 目次 1. はじめに 2 2. ` 解析例 ( はすば歯車の例 ) 歯車諸元 (C 面取り ) 歯車諸元 (R 面取り ) 最適歯面修整 歯先修整 + 歯先

円筒歯車の最適歯面修整の設計例 適正な歯面修整で負荷容量の増大を目指すー 目次 1. はじめに 2 2. ` 解析例 ( はすば歯車の例 ) 歯車諸元 (C 面取り ) 歯車諸元 (R 面取り ) 最適歯面修整 歯先修整 + 歯先 円筒歯車の最適歯面修整の設計例 適正な歯面修整で負荷容量の増大を目指すー 2019.02.25 目次 1. はじめに 2 2. ` 解析例 ( はすば歯車の例 ) 2 2.1 歯車諸元 (C 面取り ) 2 2.2 歯車諸元 (R 面取り ) 7 2.3 最適歯面修整 9 2.4 歯先修整 + 歯先 C 12 2.5 歯先修整 + 歯先 R 14 2.6 解析結果の比較 16 3. 修整歯形 + 歯先

More information

Microsoft PowerPoint - 2_6_shibata.ppt [互換モード]

Microsoft PowerPoint - 2_6_shibata.ppt [互換モード] 圧密問題への逆問題の適用 一次元圧密と神戸空港の沈下予測 1. 一次元圧密の解析 2. 二次元圧密問題への適用 3. 神戸空港の沈下予測 1. 一次元圧密の解析 一次元圧密の実験 試験システムの概要 分割型圧密試験 逆解析の条件 未知量 ( 同定パラメータ ) 圧縮指数 :, 透水係数 :k 初期体積ひずみ速度 : 二次圧密係数 : 観測量沈下量 ( 計 4 点 ) 逆解析手法 粒子フィルタ (SIS)

More information

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 となるように半固定抵抗器を調整する ( ゼロ点調整のため ) 図 1 非反転増幅器 2010 年度版物理工学実験法

More information

Microsoft PowerPoint - H24全国大会_発表資料.ppt [互換モード]

Microsoft PowerPoint - H24全国大会_発表資料.ppt [互換モード] 第 47 回地盤工学研究発表会 モアレを利用した変位計測システムの開発 ( 計測原理と画像解析 ) 平成 24 年 7 月 15 日 山形設計 ( 株 ) 技術部長堀内宏信 1. はじめに ひびわれ計測の必要性 高度成長期に建設された社会基盤の多くが老朽化を迎え, また近年多発している地震などの災害により, 何らかの損傷を有する構造物は膨大な数に上ると想定される 老朽化による劣化や外的要因による損傷などが生じた構造物の適切な維持管理による健全性の確保と長寿命化のためには,

More information

標準在庫品 DIN 332 Form R (R タイプ ) Ø1.~Ø1 インサートタイプ : NC233 / K2F TiAIN フューチュラナノトップコーティング NC574 / P4 Helica, 8インサート用 DIN 332 Form A+B (A+B タイプ ) Ø1.~Ø1 DIN

標準在庫品 DIN 332 Form R (R タイプ ) Ø1.~Ø1 インサートタイプ : NC233 / K2F TiAIN フューチュラナノトップコーティング NC574 / P4 Helica, 8インサート用 DIN 332 Form A+B (A+B タイプ ) Ø1.~Ø1 DIN インサート式センタードリル >> 特徴 Features i-center は Nine9のトレードマークであり 世界初のインサート式センタードリルです ( 特許取得済 ) Nine9の i-center は インサート式を採用することよって皆様の加工工程を大幅に改善致します 世界初のインサート式センタードリルです センタ穴加工におけるツールセットと加工時間を短縮します 長寿命により 刃具のコストダウンに貢献します

More information

<4D F736F F F696E74202D C835B B C8CB38DCF82DD2E B8CDD8AB B83685D>

<4D F736F F F696E74202D C835B B C8CB38DCF82DD2E B8CDD8AB B83685D> 歯車の歯形修整と 3D モデリング 1 歯形修整の種類とその目的 1) 歯先修整と歯元修整 2) クラウニングとエンドレリーフ 3) セミトッピング 2. 歯車の 3D モデリング 1) 機構部品のモデリングの際の留意点 2) モデリング曲面生成の方法 3) 歯車の 3D モデリング 2009/12/04 テクファ ジャパン ( 株 ) 香取英男 1 歯車の歯形修整 広義の歯形修整には 下記の3 種類がある

More information

本日話す内容

本日話す内容 6CAE 材料モデルの VV 山梨大学工学部土木環境工学科吉田純司 本日話す内容 1. ゴム材料の免震構造への応用 積層ゴム支承とは ゴムと鋼板を積層状に剛結 ゴム層の体積変形を制限 水平方向 鉛直方向 柔 剛 加速度の低減 構造物の支持 土木における免震 2. 高減衰積層ゴム支承の 力学特性の概要 高減衰ゴムを用いた支承の復元力特性 荷重 [kn] 15 1 5-5 -1-15 -3-2 -1 1

More information

第 2 章 構造解析 8

第 2 章 構造解析 8 第 2 章 構造解析 8 2.1. 目的 FITSAT-1 の外郭構造が, 打ち上げ時の加速度等によって発生する局所的な応力, 及び温度変化によってビスに発生する引っ張り応力に対して, 十分な強度を有することを明らかにする. 解析には SolidWorks2011 を用いた. 2.2. 適用文書 (1)JMX-2011303B: JEM 搭載用小型衛星放出機構を利用する小型衛星への構造 フラクチャコントロール計画書

More information

実験題吊  「加速度センサーを作ってみよう《

実験題吊  「加速度センサーを作ってみよう《 加速度センサーを作ってみよう 茨城工業高等専門学校専攻科 山越好太 1. 加速度センサー? 最近話題のセンサーに 加速度センサー というものがあります これは文字通り 加速度 を測るセンサーで 主に動きの検出に使われたり 地球から受ける重力加速度を測定することで傾きを測ることなどにも使われています 最近ではゲーム機をはじめ携帯電話などにも搭載されるようになってきています 2. 加速度センサーの仕組み加速度センサーにも様々な種類があります

More information

Microsoft Word - 01_研究報告書_鈴木

Microsoft Word - 01_研究報告書_鈴木 単結晶ダイヤモンド製マイクロフライス工具による セラミックスの超精密加工 ガラス基板の精密加工 鈴木浩文, 岡田睦, 町田一道 ( 中部大学 ) 藤井一二 ( 日進工具 ) スマートフォーンなどの情報端末やデジタルカメラなどのニーズがますます増大し, カバーガラスの需要は現在, 数億枚以上 / 年に達している. ガラス基板の加工は現状では電着ダイヤモンド砥石による研削加工におこなわれており, 工具寿命が不十分で,

More information

アルミ合金の高能率加工にびびり振動を抑制する不等分割 不等リードエンドミル DLC VL DLC MILL VL series

アルミ合金の高能率加工にびびり振動を抑制する不等分割 不等リードエンドミル DLC VL DLC MILL VL series アルミ合金の高能率加工にびびり振動を抑制する不等分割 不等リードエンドミル MI series アルミ合金の高能率加工にびびり振動を抑制する不等分割 不等リードエンドミル 不等分割 不等リードにより びびり振動を抑制した 形状を採用 耐凝着性に優れたによる高速 高能率加工を可能 不等分割 不等リード 不等分割 A 不等リード 3 2 A2 A3 A A2 A3 A A2 A3は固定値ではない 4 A

More information

< B837B B835E82C982A882AF82E991CF905593AE90AB8CFC8FE382C98AD682B782E988EA8D6C8E40>

< B837B B835E82C982A882AF82E991CF905593AE90AB8CFC8FE382C98AD682B782E988EA8D6C8E40> 1 / 4 SANYO DENKI TECHNICAL REPORT No.10 November-2000 一般論文 日置洋 Hiroshi Hioki 清水明 Akira Shimizu 石井秀幸 Hideyuki Ishii 小野寺悟 Satoru Onodera 1. まえがき サーボモータを使用する機械の小型軽量化と高応答化への要求に伴い サーボモータは振動の大きな環境で使用される用途が多くなってきた

More information

‰à„^›œŁt.ai

‰à„^›œŁt.ai プラスチック用金型製作の技術 技能マニュアル 1 私たちの暮らしとプラスチック製品 1 私たちの暮らしとプラスチック製品 私たちの身の周りには 様々なプラスチック製品があります 家庭用品や家電製品 そして自動車 新幹線 航空機などの様々な部分にプラスチックが使われています 携帯電話のケースやノートパソコンのキーボードなどハイテク製品でもプラスチック製 品が多用されています 現代社会において プラスチック製品は欠くことのできない存在になっています

More information

1 2 3

1 2 3 INFORMATION FOR THE USER DRILL SELECTION CHART CARBIDE DRILLS NEXUS DRILLS DIAMOND DRILLS VP-GOLD DRILLS TDXL DRILLS EX-GOLD DRILLS V-GOLD DRILLS STEEL FRAME DRILLS HARD DRILLS V-SELECT DRILLS SPECIAL

More information

0. 極低比速度単段オープン羽根遠心ポンプ検討の目的本書は極低比速度単段オープン羽根遠心ポンプについての検討を記したものである 食品製造等のサニタリー性を求められる製造プロセスにおいては現状 容積式のロータリーポンプあるいはベーンポンプが利用されている これは軸回転数 2900/3500min -1

0. 極低比速度単段オープン羽根遠心ポンプ検討の目的本書は極低比速度単段オープン羽根遠心ポンプについての検討を記したものである 食品製造等のサニタリー性を求められる製造プロセスにおいては現状 容積式のロータリーポンプあるいはベーンポンプが利用されている これは軸回転数 2900/3500min -1 極低比速度 単段オープン羽根 遠心ポンプの検討 0. 極低比速度単段オープン羽根遠心ポンプ検討の目的本書は極低比速度単段オープン羽根遠心ポンプについての検討を記したものである 食品製造等のサニタリー性を求められる製造プロセスにおいては現状 容積式のロータリーポンプあるいはベーンポンプが利用されている これは軸回転数 2900/3500min -1 の三相かご型誘導電動機により駆動される単段の遠心ポンプで必要な揚程流量比を得ることができていないためである

More information

ACモーター入門編 サンプルテキスト

ACモーター入門編 サンプルテキスト 技術セミナーテキスト AC モーター入門編 目次 1 AC モーターの位置付けと特徴 2 1-1 AC モーターの位置付け 1-2 AC モーターの特徴 2 AC モーターの基礎 6 2-1 構造 2-2 動作原理 2-3 特性と仕様の見方 2-4 ギヤヘッドの役割 2-5 ギヤヘッドの仕様 2-6 ギヤヘッドの種類 2-7 代表的な AC モーター 3 温度上昇と寿命 32 3-1 温度上昇の考え方

More information

Microsoft Word - 10 表紙.docx

Microsoft Word - 10 表紙.docx 東海能開大 ( 岐阜県揖斐郡大野町 ) ポリテクセンター岐阜 ( 岐阜県土岐市 ) ポリテクセンター三重 ( 三重県四日市市 ) 三 東 中 岐 静 ポリテクセンター静岡 ( 静岡県静岡市 ) 南 浜 ポリテクセンター中部 ( 愛知県小牧市 ) 浜松短大校 ( 静岡県浜松市 ) ポリテクセンター南伊勢 ( 三重県伊勢市 ) 分野別コースフロー 機械設計 中ポリテクセンター中部岐ポリテクセンター岐阜静ポリテクセンター静岡三ポリテクセンター三重南ポリテクセンター南伊勢東東海能開大浜浜松短大

More information

切削条件表超硬 4 枚刃不等分割不等リードエンドミル 38 /41 刃長 1.5D 型式 外径 一般構造用鋼炭素鋼 (S45C/SS/FC/FCD) (24~30HRC) 合金鋼 (SCM/ 低合金鋼 ) (30~38HRC) ステンレス鋼 (SUS304/SUS316) プリハードン鋼 (SKD/

切削条件表超硬 4 枚刃不等分割不等リードエンドミル 38 /41 刃長 1.5D 型式 外径 一般構造用鋼炭素鋼 (S45C/SS/FC/FCD) (24~30HRC) 合金鋼 (SCM/ 低合金鋼 ) (30~38HRC) ステンレス鋼 (SUS304/SUS316) プリハードン鋼 (SKD/ 形状別エンドミル目次不等分割不等リードボールラジアススクエアラフィングアルミ用面取り リーディングドリル不等分割不等リード φ1 20 超硬 4 枚刃不等分割不等リードエンドミル 3 8 / 4 1 刃長 1. 5 D E141-1.5HX 材質 仕様 N 90 γ5 90 加工形態 対応表 ( 最適 / 適 ) 炭素鋼 工具鋼 プリハードン鋼 合金鋼 プリハードン鋼 焼き入れ鋼 ステンレス鋼 ダグタイル

More information

DURACON POM グレードシリーズ ポリアセタール (POM) TR-20 CF2001/CD3501 ミネラル強化 ポリプラスチックス株式会社

DURACON POM グレードシリーズ ポリアセタール (POM) TR-20 CF2001/CD3501 ミネラル強化 ポリプラスチックス株式会社 DURACON POM グレードシリーズ ポリアセタール (POM) TR-20 CF2001/CD3501 ミネラル強化 ポリプラスチックス株式会社 TR-20 の一般的性質 カラー ISO(JIS) 材質表示 表 1-1 一般物性 (ISO) 項目単位試験方法 ISO11469 (JIS K6999) ミネラル強化 TR-20 高剛性 低そり CF2001/CD3501 >POM-TD15< 密度

More information

Microsoft PowerPoint - zairiki_3

Microsoft PowerPoint - zairiki_3 材料力学講義 (3) 応力と変形 Ⅲ ( 曲げモーメント, 垂直応力度, 曲率 ) 今回は, 曲げモーメントに関する, 断面力 - 応力度 - 変形 - 変位の関係について学びます 1 曲げモーメント 曲げモーメント M 静定力学で求めた曲げモーメントも, 仮想的に断面を切ることによって現れる内力です 軸方向力は断面に働く力 曲げモーメント M は断面力 曲げモーメントも, 一つのモーメントとして表しますが,

More information

TOOL NEWS B223J

TOOL NEWS B223J TOOL NEWS B223J センタリング 面取り加工用超硬ドリル リーディングドリルシリーズ 新発売 切れ味が良く耐欠損性に優れ ステンレス鋼でも安定加工 センタリング 面取り加工用超硬ドリル リーディングドリルシリーズ センタリングと面取り加工の要求性能を追求 センタリング 面取り加工 横送り面取り加工 V 溝加工 ドリル使用範囲 特長 良好な食付き性を実現するシンニング 中心部の切りくず排出スペースにより

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

材料の力学解答集

材料の力学解答集 材料の力学 ( 第 章 ) 解答集 ------------------------------------------------------------------------------- 各種応力の計算問題 (No1) 1. 断面積 1mm の材料に 18N の引張荷重が働くとき, 断面に生じる応力はどれほどか ( 18(N/mm ) または 18(MP)) P 18( N) 18 N /

More information

QOBU1011_40.pdf

QOBU1011_40.pdf 印字データ名 QOBU1 0 1 1 (1165) コメント 研究紹介 片山 作成日時 07.10.04 19:33 図 2 (a )センサー素子の外観 (b )センサー基板 色の濃い部分が Pt 形電極 幅 50μm, 間隔 50μm (c ),(d )単層ナノ チューブ薄膜の SEM 像 (c )Al O 基板上, (d )Pt 電極との境 界 熱 CVD 条件 触媒金属 Fe(0.5nm)/Al(5nm)

More information

金型の加工性状が射出成形時の型内圧力 金型温度に及ぼす影響 メカニックス系工学専攻准教授西籔和明 東大阪モノづくり専攻修士学生長井孝太郎 藤塚精密金型株式会社専務取締役藤塚孝征 1. 緒言プラスチック射出成形は, 高温に加熱し溶融したプラスチックを金属の型に高速かつ高圧で射出し, 金型内で冷却固化し

金型の加工性状が射出成形時の型内圧力 金型温度に及ぼす影響 メカニックス系工学専攻准教授西籔和明 東大阪モノづくり専攻修士学生長井孝太郎 藤塚精密金型株式会社専務取締役藤塚孝征 1. 緒言プラスチック射出成形は, 高温に加熱し溶融したプラスチックを金属の型に高速かつ高圧で射出し, 金型内で冷却固化し 金型の加工性状が射出成形時の型内圧力 金型温度に及ぼす影響 メカニックス系工学専攻准教授西籔和明 東大阪モノづくり専攻修士学生長井孝太郎 藤塚精密金型株式会社専務取締役藤塚孝征 1. 緒言プラスチック射出成形は, 高温に加熱し溶融したプラスチックを金属の型に高速かつ高圧で射出し, 金型内で冷却固化した後で型から取り出して, プラスチック成形品を得る製造方法であり, 最も一般的な熱可塑性プラスチックの成形方法の一つである.

More information

T ダイの流動解析 HASL 社 FlatCAD を使用した池貝製 T ダイの流動解析事例 各種の樹脂粘度を考慮した T ダイの流路設計 Rich Green on Land Deep Blue in Sky and Sea 株式会社池貝開発室横田新一郎

T ダイの流動解析 HASL 社 FlatCAD を使用した池貝製 T ダイの流動解析事例 各種の樹脂粘度を考慮した T ダイの流路設計 Rich Green on Land Deep Blue in Sky and Sea 株式会社池貝開発室横田新一郎 T ダイの流動解析 HASL 社 FlatCAD を使用した池貝製 T ダイの流動解析事例 各種の樹脂粘度を考慮した T ダイの流路設計 Rich Green on Land Deep Blue in Sky and Sea 株式会社池貝開発室横田新一郎 secj_yokota@ikegai.co.jp 手順 1 T ダイの設計フロー 製品シート フィルムの仕様を検討 押出機の条件 T ダイ幅 ロール方向の確認

More information

粒子画像流速測定法を用いた室内流速測定法に関する研究

粒子画像流速測定法を用いた室内流速測定法に関する研究 可視化手法を用いた室内気流分布の測定法に関する研究 -PIV を用いた通風時及び空調吹出気流の測定 - T08K729D 大久保肇 指導教員 赤林伸一教授 流れの可視化は古来より流れの特性を直感的に把握する手法として様々な測定法が試みられている 近年の画像処理技術の発展及び PC の性能向上により粒子画像流速測定法 (PIV ) が実用化されている Particle Image Velocimetry

More information

PowerPoint Presentation

PowerPoint Presentation Non-linea factue mechanics き裂先端付近の塑性変形 塑性域 R 破壊進行領域応カ特異場 Ω R R Hutchinson, Rice and Rosengen 全ひずみ塑性理論に基づいた解析 現段階のひずみは 除荷がないとすると現段階の応力で一義的に決まる 単純引張り時の応カーひずみ関係 ( 構成方程式 ): ( ) ( ) n () y y y ここで α,n 定数, /

More information

IB-B

IB-B FIB による TEM 試料作製法 2 バルクピックアップ法 1. はじめにピックアップ法を用いた FIB による TEM 試料作製法は事前の素材加工が不要であり 試料の損失を無くすなど利点は多いが 磁性材料は観察不可能であること 薄膜加工終了後 再度 FIB に戻して追加工をすることができないこと 平面方向の観察試料作製が難しいことなど欠点もある 本解説ではこれらの欠点を克服するバルクピックアップ法を紹介する

More information

杭の事前打ち込み解析

杭の事前打ち込み解析 杭の事前打ち込み解析 株式会社シーズエンジニアリング はじめに杭の事前打込み解析 ( : Pile Driving Prediction) は, ハンマー打撃時の杭の挙動と地盤抵抗をシミュレートする解析方法である 打ち込み工法の妥当性を検討する方法で, 杭施工に最適なハンマー, 杭の肉厚 材質等の仕様等を決めることができる < 特徴 > 杭施工に最適なハンマーを選定することができる 杭の肉厚 材質等の仕様を選定することができる

More information

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 5 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の中から選択可能です TITAN プローブのもつ優れたインピーダンス整合 電気特性 チップの視認性 長寿命をすべて兼ね備えています

More information

2009 年 11 月 16 日版 ( 久家 ) 遠地 P 波の変位波形の作成 遠地 P 波の変位波形 ( 変位の時間関数 ) は 波線理論をもとに P U () t = S()* t E()* t P() t で近似的に計算できる * は畳み込み積分 (convolution) を表す ( 付録

2009 年 11 月 16 日版 ( 久家 ) 遠地 P 波の変位波形の作成 遠地 P 波の変位波形 ( 変位の時間関数 ) は 波線理論をもとに P U () t = S()* t E()* t P() t で近似的に計算できる * は畳み込み積分 (convolution) を表す ( 付録 遠地 波の変位波形の作成 遠地 波の変位波形 ( 変位の時間関数 ) は 波線理論をもとに U () t S() t E() t () t で近似的に計算できる は畳み込み積分 (convolution) を表す ( 付録 参照 ) ここで St () は地震の断層運動によって決まる時間関数 1 E() t は地下構造によって生じる種々の波の到着を与える時間関数 ( ここでは 直達 波とともに 震源そばの地表での反射波や変換波を与える時間関数

More information

UNIMAX SERIES VOL18

UNIMAX SERIES VOL18 長4 枚刃 UTCOAT サイズ φ1~φ20 CZS 対応表 ( の順に推奨 ) 炭素鋼 S45C S55C 合金鋼 SK / SCM SUS プリハードン鋼 NAK HPM 焼入れ鋼 ~ 55HRC ~ 60HRC ~ 70HRC 鋳鉄アルミ合金グラファイト銅樹脂ガラス入り樹脂チタン合金超耐熱合金超硬合金硬脆材 新開発の底刃形状により ムクのワークに Z 切込みが出来ます 欠けにくい高靱性超硬材料を採用

More information

Autodesk Inventor 改造解析結果の検証

Autodesk Inventor 改造解析結果の検証 Autodesk Inventor シミュレーションホワイトペーパー Autodesk Inventor の検証 はじめに この文書では Autodesk Inventor 2010 のでのと実験結果または分析結果との比較を行ったいくつかの事例を紹介します 各事例は 参考文献などの複数の項目で構成されています この文書には 応力 変位 固有振動数 焼ばめ接触部の接触圧力の比較結果が記載されています

More information

2,500 2,000 1,500 1,000 500 0-500 10 20 30 40 50 60 100 200 300 400 500 1,800 1,600 1,400 1,200 1,000 800 600 400 200 0-200 0 2 4 6 8 10 WX-MS-GDS 極小径 精密加工用超硬スタブドリル 材 質 超微粒子超硬合金 フォーファセットポイント φ2未満 スリーレーキシンニング

More information

TOP-Watch_A4_ indd

TOP-Watch_A4_ indd アプリテック社 ( スイス ) トップ SWISS MD 2016 / 2017 極小径の精密加工に最適 時計 医療機器 電子機器 自動車部品の高品位加工用ツール 時計産業の旋削加工ニーズを網羅するトップライン アプリテック社は時計産業の最新加工 材料に対応する最新ツールを開発しています 防振ヘビーメタルホルダ [NOVIR] 加工を細部に至るまでコントロール トップラインの製作だけに特化した自動化研削機ラインを設け

More information

で通常 0.1mm 程度であるのに対し, 軸受内部の表面の大きさは通常 10mm 程度であり, 大きさのスケールが100 倍程度異なる. 例えば, 本研究で解析対象とした玉軸受について, すべての格子をEHLに用いる等間隔構造格子で作成したとすると, 総格子点数は10,000,000のオーダーとなる

で通常 0.1mm 程度であるのに対し, 軸受内部の表面の大きさは通常 10mm 程度であり, 大きさのスケールが100 倍程度異なる. 例えば, 本研究で解析対象とした玉軸受について, すべての格子をEHLに用いる等間隔構造格子で作成したとすると, 総格子点数は10,000,000のオーダーとなる 論文の内容の要旨 論文題目 転がり軸受における枯渇弾性流体潤滑とマクロ流れのマルチスケール連成解析手法の開発 氏名柴﨑健一 転がり軸受は, 転動体が, 外輪および内輪上の溝を転がることにより, 軸を回転自在に支持する機械要素であり, 長寿命化, 低摩擦化が強く求められている. 軸受の摩耗や焼付を防ぎ, 寿命を延ばすため, 通常は潤滑油またはグリースなどの潤滑剤が用いられる. 潤滑油は, 転がり接触する二表面間に表面粗さよりも厚い膜を形成し,

More information

強化プラスチック裏込め材の 耐荷実験 実験報告書 平成 26 年 6 月 5 日 ( 株 ) アスモ建築事務所石橋一彦建築構造研究室千葉工業大学名誉教授石橋一彦

強化プラスチック裏込め材の 耐荷実験 実験報告書 平成 26 年 6 月 5 日 ( 株 ) アスモ建築事務所石橋一彦建築構造研究室千葉工業大学名誉教授石橋一彦 強化プラスチック裏込め材の 耐荷実験 実験報告書 平成 26 年 6 月 5 日 ( 株 ) アスモ建築事務所石橋一彦建築構造研究室千葉工業大学名誉教授石橋一彦 1. 実験目的 大和建工株式会社の依頼を受け 地下建設土留め工事の矢板と腹起こしの間に施工する 強 化プラスチック製の裏込め材 の耐荷試験を行って 設計荷重を保証できることを証明する 2. 試験体 試験体の実測に基づく形状を次に示す 実験に供する試験体は3

More information

高硬度金型(60HRC) の高速切削

高硬度金型(60HRC) の高速切削 High-Speed Cutting of Hardened (60HRC) Die Mold Kunio Naito, Kazutaka Ogo, Yasuhisa Takada, Kazuki Watanabe, Kenji Wada 40HRC60HRC 60HRC CBN Hardened die mold steels have been conventionally As a result

More information

Microsoft PowerPoint - fuseitei_6

Microsoft PowerPoint - fuseitei_6 不静定力学 Ⅱ 骨組の崩壊荷重の計算 不静定力学 Ⅱ では, 最後の問題となりますが, 骨組の崩壊荷重の計算法について学びます 1 参考書 松本慎也著 よくわかる構造力学の基本, 秀和システム このスライドの説明には, 主にこの参考書の説明を引用しています 2 崩壊荷重 構造物に作用する荷重が徐々に増大すると, 構造物内に発生する応力は増加し, やがて, 構造物は荷重に耐えられなくなる そのときの荷重を崩壊荷重あるいは終局荷重という

More information

Microsoft Word - 4_構造特性係数の設定方法に関する検討.doc

Microsoft Word - 4_構造特性係数の設定方法に関する検討.doc 第 4 章 構造特性係数の設定方法に関する検討 4. はじめに 平成 年度 年度の時刻歴応答解析を実施した結果 課題として以下の点が指摘 された * ) 脆性壁の評価法の問題 時刻歴応答解析により 初期剛性が高く脆性的な壁については現在の構造特性係数 Ds 評価が危険であることが判明した 脆性壁では.5 倍程度必要保有耐力が大きくなる * ) 併用構造の Ds の設定の問題 異なる荷重変形関係を持つ壁の

More information

7 章問題解答 7-1 予習 1. 長方形断面であるため, 断面積 A と潤辺 S は, 水深 h, 水路幅 B を用い以下で表される A = Bh, S = B + 2h 径深 R の算定式に代入すると以下のようになる A Bh h R = = = S B + 2 h 1+ 2( h B) 分母の

7 章問題解答 7-1 予習 1. 長方形断面であるため, 断面積 A と潤辺 S は, 水深 h, 水路幅 B を用い以下で表される A = Bh, S = B + 2h 径深 R の算定式に代入すると以下のようになる A Bh h R = = = S B + 2 h 1+ 2( h B) 分母の 7 章問題解答 7- 予習. 長方形断面であるため, 断面積 と潤辺 S は, 水深, 水路幅 B を用い以下で表される B, S B + 径深 R の算定式に代入すると以下のようになる B R S B + ( B) 分母の /B は河幅が水深に対して十分に広ければ, 非常に小さな値となるため, 上式は R ( B) となり, 径深 R は水深 で近似できる. マニングの式の水深 を等流水深 0 と置き換えると,

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

(Microsoft Word - \220\371\224\325\203e\203L\203X\203g.doc)

(Microsoft Word - \220\371\224\325\203e\203L\203X\203g.doc) 1 年電子機械科後期実習旋盤テキスト番号氏名 棒文鎮 の製作 1 目的 (1) 旋盤 フライス盤の基本的な取り扱い及び操作方法を習得する (2) 基本的な工作測定の仕方を習得する 4 製作手順 4-1 材料の切り出し図 2のような直径 22[mm] の丸棒をカットグラインダーで長さ144 [mm] で切断する 2 使用機器 (a) 汎用旋盤 (b) フライス盤 (c) ボール盤 (d) カットグラインダー

More information

3. 試験体および実験条件 試験体は丸孔千鳥配置 (6 配置 ) のステンレス製パンチングメタルであり, 寸法は 70mm 70mm である 実験条件は, 孔径および板厚をパラメータとし ( 開口率は一定 ), および実験風速を変化させて計測する ( 表 -1, 図 -4, 図 -) パンチングメタ

3. 試験体および実験条件 試験体は丸孔千鳥配置 (6 配置 ) のステンレス製パンチングメタルであり, 寸法は 70mm 70mm である 実験条件は, 孔径および板厚をパラメータとし ( 開口率は一定 ), および実験風速を変化させて計測する ( 表 -1, 図 -4, 図 -) パンチングメタ パンチングメタルから発生する風騒音に関する研究 孔径および板厚による影響 吉川優 *1 浅見豊 *1 田端淳 *2 *2 冨高隆 Keywords : perforated metal, low noise wind tunnel test, aerodynamic noise パンチングメタル, 低騒音風洞実験, 風騒音 1. はじめにバルコニー手摺や目隠しパネル, または化粧部材としてパンチングメタルが広く使用されている

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM 3 Flutes Series Features Thanks to 3 flutes ball nose geometry, all of that reach

More information

IPSJ SIG Technical Report Vol.2015-CVIM-196 No /3/6 1,a) 1,b) 1,c) U,,,, The Camera Position Alignment on a Gimbal Head for Fixed Viewpoint Swi

IPSJ SIG Technical Report Vol.2015-CVIM-196 No /3/6 1,a) 1,b) 1,c) U,,,, The Camera Position Alignment on a Gimbal Head for Fixed Viewpoint Swi 1,a) 1,b) 1,c) U,,,, The Camera Position Alignment on a Gimbal Head for Fixed Viewpoint Swiveling using a Misalignment Model Abstract: When the camera sets on a gimbal head as a fixed-view-point, it is

More information

突切り加工用に最適化 コロカット QD は市場で最も強度のある 最新の突切り 溝入れ加工システムです 安定した突切り加工や深溝加工に最適で あらゆる被削材と機械に対応します 深く狭い溝の加工向け コロカットQDのブレードは厚く 深溝加工で取り代の多い場合に最適です また ワーク素材節約のため 幅の狭

突切り加工用に最適化 コロカット QD は市場で最も強度のある 最新の突切り 溝入れ加工システムです 安定した突切り加工や深溝加工に最適で あらゆる被削材と機械に対応します 深く狭い溝の加工向け コロカットQDのブレードは厚く 深溝加工で取り代の多い場合に最適です また ワーク素材節約のため 幅の狭 効率的な突切り 溝入れ加工用工具 突切り加工用に最適化 コロカット QD は市場で最も強度のある 最新の突切り 溝入れ加工システムです 安定した突切り加工や深溝加工に最適で あらゆる被削材と機械に対応します 深く狭い溝の加工向け コロカットQDのブレードは厚く 深溝加工で取り代の多い場合に最適です また ワーク素材節約のため 幅の狭いチップもご用意しています 卓越した切りくず処理と長い工具寿命コロカットQDの逃げ面

More information

Fig. 2 Signal plane divided into cell of DWT Fig. 1 Schematic diagram for the monitoring system

Fig. 2 Signal plane divided into cell of DWT Fig. 1 Schematic diagram for the monitoring system Study of Health Monitoring of Vehicle Structure by Using Feature Extraction based on Discrete Wavelet Transform Akihisa TABATA *4, Yoshio AOKI, Kazutaka ANDO and Masataka KATO Department of Precision Machinery

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

JAS Journal 2015 Vol.55 No.2(3 月号 ) 特集 : カーオーディオ ハイレゾ時代に相応しい高性能スピーカー振動板の開発 三菱電機株式会社鈴木聖記 NCV という名の革新的なスピーカー振動板を開発した NCV は Nano Carbonized high Velocity

JAS Journal 2015 Vol.55 No.2(3 月号 ) 特集 : カーオーディオ ハイレゾ時代に相応しい高性能スピーカー振動板の開発 三菱電機株式会社鈴木聖記 NCV という名の革新的なスピーカー振動板を開発した NCV は Nano Carbonized high Velocity 特集 : カーオーディオ ハイレゾ時代に相応しい高性能スピーカー振動板の開発 三菱電機株式会社鈴木聖記 NCV という名の革新的なスピーカー振動板を開発した NCV は Nano Carbonized high Velocity の略で 数種類の高分子材料とカーボンナノチューブを組み合わせた新素材である 最大の特徴としては 樹脂系材料でありながらチタンを超える伝搬速度を持ち かつ紙と同等の適度な内部損失を持つことである

More information

5 Fiute Highly Efficient Radius End Mills

5 Fiute Highly Efficient Radius End Mills 形状の特長 Features から (55HRC) まで幅広い被削材に対応! 不等分割 不等リード形状 ポジティブすくい角刃形状により 側面加工で高能率加工が可能! シームレスコーナ R 形状により 切削抵抗を飛躍的に低減! Broad plication range from Carbon Steels to Hardened Steels (55HRC). Variable pitch, variable

More information

円筒型 SPCP オゾナイザー技術資料 T ( 株 ) 増田研究所 1. 構造株式会社増田研究所は 独自に開発したセラミックの表面に発生させる沿面放電によるプラズマ生成技術を Surface Discharge Induced Plasma Chemical P

円筒型 SPCP オゾナイザー技術資料 T ( 株 ) 増田研究所 1. 構造株式会社増田研究所は 独自に開発したセラミックの表面に発生させる沿面放電によるプラズマ生成技術を Surface Discharge Induced Plasma Chemical P 円筒型 SPCP オゾナイザー技術資料 T211-1 211.2.7 ( 株 ) 増田研究所 1. 構造株式会社増田研究所は 独自に開発したセラミックの表面に発生させる沿面放電によるプラズマ生成技術を Surface Discharge Induced Plasma Chemical Process (SPCP) と命名し 小型 ~ 中型のオゾナイザーとして製造 販売を行っている SPCP オゾナイザーは図

More information

タップ総合

タップ総合 1 2 3 4 N NEW タップシリーズ N Tap series ねじ加工に適した高バナジウムハイスの使用により 汎用タップながらも長寿命 高剛性設計で安定ねじ加工を実現 Nスパイラルタップ NSP By using high vanadium HSS, which is suitable for cutting screw threads, even flexibility screw threads

More information

Microsoft PowerPoint - JST新技術説明会2018b29(道総研・戸羽)_ 提出-1

Microsoft PowerPoint - JST新技術説明会2018b29(道総研・戸羽)_ 提出-1 1 複合粉末材料による金属 3D 積層造形法 北海道立総合研究機構 産業技術研究本部工業試験場 製品技術部主任主査戸羽篤也 金属粉末 3D 造形について 金属粉末積層造形の原理 既成層の上に配置された金属粉末に熱線を照射し 金属粉末を溶融するとともに既成層表面と溶接して積層する 出力 溶融池 P φd 走査速度 V 熱影響域 金属粉末 既成層 2 金属粉末 3D 造形について 3D 積層造形 内部に

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

Microsoft PowerPoint - 発表II-3原稿r02.ppt [互換モード]

Microsoft PowerPoint - 発表II-3原稿r02.ppt [互換モード] 地震時の原子力発電所燃料プールからの溢水量解析プログラム 地球工学研究所田中伸和豊田幸宏 Central Research Institute of Electric Power Industry 1 1. はじめに ( その 1) 2003 年十勝沖地震では 震源から離れた苫小牧地区の石油タンクに スロッシング ( 液面揺動 ) による火災被害が生じた 2007 年中越沖地震では 原子力発電所内の燃料プールからの溢水があり

More information

seika.PS

seika.PS Carrier Gas Distiled Water Heater Mixed Gas Carrier gas with H 2 O Mixed Gas Inlet Sample Purge Ar gas Quartz Rod Quartz Tube Furnace Thermo Couple Clucible (Molten Salt) Gas Outlet アクティブ制御を用いた長尺アームの制振制御

More information

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015)

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015) 65 62015 224 228 ** Journal of The Japan Institute of Light Metals, Vol. 65, No. 6 (2015), 224 228 2015 The Japan Institute of Light Metals Investigation of heat flow behavior on die-casting core pin with

More information

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4)

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4) 3 * 35 (3), 7 Analysis of Local Magnetic Properties and Acoustic Noise in Three-Phase Stacked Transformer Core Model Masayoshi Ishida Kenichi Sadahiro Seiji Okabe 3.7 T 5 Hz..4 3 Synopsis: Methods of local

More information

する距離を一定に保ち温度を変化させた場合のセンサーのカウント ( センサーが計測した距離 ) の変化を調べた ( 図 4) 実験で得られたセンサーの温度変化とカウント変化の一例をグラフ 1 に載せる グラフにおいて赤いデータ点がセンサーのカウント値である 計測距離一定で実験を行ったので理想的にはカウ

する距離を一定に保ち温度を変化させた場合のセンサーのカウント ( センサーが計測した距離 ) の変化を調べた ( 図 4) 実験で得られたセンサーの温度変化とカウント変化の一例をグラフ 1 に載せる グラフにおいて赤いデータ点がセンサーのカウント値である 計測距離一定で実験を行ったので理想的にはカウ 岡山 3.8m 新望遠鏡制御系のための多点温度計開発 京都大学理学研究科宇宙物理学教室 M1 出口和弘 1. 岡山 3.8m 新望遠鏡に使われる分割鏡のメリットと技術的ハードル我々は現在 京都大学を中心として国立天文台 岡山天体物理観測所に新技術を用いた口径 3.8m の可視 近赤外望遠鏡の建設を計画している ( 図 1) 新技術の一つとして望遠鏡の主鏡に一枚鏡ではなく 扇型のセグメントを組み合わせて一枚の円形の鏡にする分割鏡を採用している

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

問題-1.indd

問題-1.indd 科目名学科 学年 組学籍番号氏名採点結果 016 年度材料力学 Ⅲ 問題 1 1 3 次元的に外力負荷を受ける物体を考える際にデカルト直交座標 - を採る 物体 内のある点 を取り囲む微小六面体上に働く応力 が v =- 40, = 60 =- 30 v = 0 = 10 v = 60 である 図 1 の 面上にこれらの応力 の作用方向を矢印で記入し その脇にその矢印が示す応力成分を記入しなさい 図

More information

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2 S9066-211SB S9067-201CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2つの受光部の出力を減算し ほぼ可視光域にのみ感度をもたせています また従来品に比べ 同一照度における異なる色温度の光源に対しての出力変化を低減しています

More information

歯車作成マニュアル 1. 歯車の作成 2. アセンブリ ( 歯車のシミュレーション ) 3. 時計の作成 1. 歯車の作成 Fusion360 で歯車の作成を行う. 1 ツールバーの アドイン から, スクリプトとアドイン をクリック 2 ウィンドウが開くので, スクリプトタブの下の方にある Spu

歯車作成マニュアル 1. 歯車の作成 2. アセンブリ ( 歯車のシミュレーション ) 3. 時計の作成 1. 歯車の作成 Fusion360 で歯車の作成を行う. 1 ツールバーの アドイン から, スクリプトとアドイン をクリック 2 ウィンドウが開くので, スクリプトタブの下の方にある Spu 歯車作成マニュアル 1. 歯車の作成 2. アセンブリ ( 歯車のシミュレーション ) 3. 時計の作成 1. 歯車の作成 Fusion360 で歯車の作成を行う. 1 ツールバーの アドイン から, スクリプトとアドイン をクリック 2 ウィンドウが開くので, スクリプトタブの下の方にある SpurGear (python マークの方,C++? ではない方 ) を選択し, 実行をクリック 3 SpurGear

More information

パソコンシミュレータの現状

パソコンシミュレータの現状 第 2 章微分 偏微分, 写像 豊橋技術科学大学森謙一郎 2. 連続関数と微分 工学において物理現象を支配する方程式は微分方程式で表されていることが多く, 有限要素法も微分方程式を解く数値解析法であり, 定式化においては微分 積分が一般的に用いられており. 数学の基礎知識が必要になる. 図 2. に示すように, 微分は連続な関数 f() の傾きを求めることであり, 微小な に対して傾きを表し, を無限に

More information

<8D8291AC B837B B835E82CC8A4A94AD>

<8D8291AC B837B B835E82CC8A4A94AD> 1 / 4 SANYO DENKI TECHNICAL REPORT No.11 May-2001 特集 小市伸太郎 Shintarou Koichi 川岸功二郎 Koujirou Kawagishi 小野寺悟 Satoru Onodera 1. まえがき 工作機械の主軸駆動には 高速化と高加速度化が要求され 主軸用モータは 高速回転と高トルクを両立する必要がある 近年益々 モータの高速 高トルク化

More information

Fig. 1 Hydrostatic Thrust Bearing Fig. 2 Point loading of elastic half-space

Fig. 1 Hydrostatic Thrust Bearing Fig. 2 Point loading of elastic half-space Characteristics of Hydrostatic Bearing/Seal Parts of Hydraulic Pumps and Motors for Water Hydraulic Systems (2nd Report, Theory) Xiongying WANG, Atsushi YAMAGUCHI In this paper, the characteristics of

More information

スペースプラズマ研究会-赤星.ppt

スペースプラズマ研究会-赤星.ppt 14 1 1 1 1 Pauline Faure 1 1 2 3 (1: 2: JAXA 3: IHI) IHI (C)(No.21560819) ISAS(JAXA) ISO TC20/SC14 / (Spall) 60~90% 2 (Cone) 1% (Jetting) CDV11227 Committee Draft for Comments CDV11227 Witness plate Sabot

More information

T

T ! " 位置決め 人間と共存 代替可能なバリ取りロボットを開発 安全 コンパクトで高精度な技術を持つロボットが実現 研究開発のきっかけ 自動車や家電製品等において樹脂部品の割合は増加しているが その成形時に生じるバリについて 主に費用対効 果の問題から 小物部品のバリ除去の自動化が遅れている 現在 人海戦術でバリを取っているが 除去が不十分で信頼性に欠け 研削具の巻き込み危険や粉塵による人体への

More information

と 測定を繰り返した時のばらつき の和が 全体のばらつき () に対して どれくらいの割合となるかがわかり 測定システムを評価することができる MSA 第 4 版スタディガイド ジャパン プレクサス (010)p.104 では % GRR の値が10% 未満であれば 一般に受容れられる測定システムと

と 測定を繰り返した時のばらつき の和が 全体のばらつき () に対して どれくらいの割合となるかがわかり 測定システムを評価することができる MSA 第 4 版スタディガイド ジャパン プレクサス (010)p.104 では % GRR の値が10% 未満であれば 一般に受容れられる測定システムと .5 Gage R&R による解析.5.1 Gage R&Rとは Gage R&R(Gage Repeatability and Reproducibility ) とは 測定システム分析 (MSA: Measurement System Analysis) ともいわれ 測定プロセスを管理または審査するための手法である MSAでは ばらつきの大きさを 変動 という尺度で表し 測定システムのどこに原因があるのか

More information

Microsoft PowerPoint - elast.ppt [互換モード]

Microsoft PowerPoint - elast.ppt [互換モード] 弾性力学入門 年夏学期 中島研吾 科学技術計算 Ⅰ(48-7) コンピュータ科学特別講義 Ⅰ(48-4) elast 弾性力学 弾性力学の対象 応力 弾性力学の支配方程式 elast 3 弾性力学 連続体力学 (Continuum Mechanics) 固体力学 (Solid Mechanics) の一部 弾性体 (lastic Material) を対象 弾性論 (Theor of lasticit)

More information

<4D F736F F D20837E836A837D E82CC88D98FED E12E646F63>

<4D F736F F D20837E836A837D E82CC88D98FED E12E646F63> 振動分析計 VA-12 を用いた精密診断事例 リオン株式会社 振動分析計 VA-12 を用いた精密診断事例を紹介します 振動分析計 VA-12 は 振動計と高機能 FFT アナライザが一体となったハンディタイプの測定器です 振動計として使用する場合は加速度 速度 変位の同時計測 FFT アナライザとして使用する場合は 3200 ライン分解能 20kHz の連続リアルタイム分析が可能です また カラー液晶に日本語表示がされます

More information

王子計測機器株式会社 LCD における PET フィルムの虹ムラに関する実験結果 はじめに最近 PETフィルムはLCD 関連の部材として バックライトユニットの構成部材 保護シート タッチセンサーの基材等に数多く使用されています 特に 液晶セルの外側にPET フィルムが設けられる状態

王子計測機器株式会社 LCD における PET フィルムの虹ムラに関する実験結果 はじめに最近 PETフィルムはLCD 関連の部材として バックライトユニットの構成部材 保護シート タッチセンサーの基材等に数多く使用されています 特に 液晶セルの外側にPET フィルムが設けられる状態 2015.02 王子計測機器株式会社 LCD における PET フィルムの虹ムラに関する実験結果 はじめに最近 PETフィルムはLCD 関連の部材として バックライトユニットの構成部材 保護シート タッチセンサーの基材等に数多く使用されています 特に 液晶セルの外側にPET フィルムが設けられる状態のとき 表示画面を偏光メガネを通して見たときに干渉色いわゆる虹ムラが発生する場合があることはよく知られています

More information

ALシリーズ.indd

ALシリーズ.indd アルミ専用エンドミル 倍刃長タイプ AD- AD- NS の A シリーズは あらゆる条件で安定した高能率加工を実現 コストパフォーマンスに優れた高剛性 倍刃長タイプ NS の A シリーズは あらゆる条件で安定した高能率加工を実現 幅広い領域で安定した加工面精度が得られ 高速加工でもビビリを抑制 A-series realized a stable and high efficient machining.

More information

テレコンバージョンレンズの原理 ( リアコンバーター ) レンズの焦点距離を伸ばす方法として テレコンバージョンレンズ ( テレコンバーター ; 略して テレコン ) を入れる方法があります これには二つのタイプがあって 一つはレンズとカメラ本体の間に入れるタイプ ( リアコンバーター ) もう一つ

テレコンバージョンレンズの原理 ( リアコンバーター ) レンズの焦点距離を伸ばす方法として テレコンバージョンレンズ ( テレコンバーター ; 略して テレコン ) を入れる方法があります これには二つのタイプがあって 一つはレンズとカメラ本体の間に入れるタイプ ( リアコンバーター ) もう一つ テレコンバージョンレンズの原理 ( リアコンバーター ) レンズの焦点距離を伸ばす方法として テレコンバージョンレンズ ( テレコンバーター ; 略して テレコン ) を入れる方法があります これには二つのタイプがあって 一つはレンズとカメラ本体の間に入れるタイプ ( リアコンバーター ) もう一つはレンズの前に取り付けるタイプ ( フロントコンバーター ) です 以前 フロントコンバーターについて書いたことがありました

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information