jikken.dvi

Size: px
Start display at page:

Download "jikken.dvi"

Transcription

1 1 1 PI ( 1) H8-Tiny H8/3672 HD64F3672FP 16 CPU ( 16MHz) ROM 16KB, RAM KB AD LED 1(c) ( A ) 赤外 LED 前 CdS セル LED 表示 RS232C 端子 (PC との接続端子 ) センサ類 ラインセンサ x3 (LED+CdS セル ) モータドライバ ターゲット Start ボタン ª ª Boot Mode 切替スイッチ 後 (a) Top View ª ªªªª«tˆ«ªªªª MPU H8/3672F OFF ON Resetボタン Power SW (b) 後部 明るさセンサ x2 CdS セル マイク エンコーダ AD DIO マイコン 4 連 LED 表示 スピーカー AD: AD 変換 ( アナログ デジタル信号 ) 赤外線受信 IC DIO: デジタル信号の入出力エンコーダ : 車輪の回転に伴いパルス発生 *) 本実験に利用しないデバイスも含まれています *) 詳細な配線図は章末付録を参照 (c) 簡単な内部接続図 開発ホスト RS232C 端子へ接続 (d) PC との接続 1:

2 LED モータ ディスク LED 受光素子 ( フォトダイオード ) パルスを数えるデジタル回路を構成すると回転角度が検出可 拡大図 モータ回転軸 スリットがある ロータリーエンコーダ 回転方向は 2 つの信号の位相差から特定できる 2: 3 LED Wheel LED& 受光素子 車輪の回転に伴ってパルス波形が生じる ギア Motor ギアボックス内部 白黒の帯が描かれた回転面 車輪 3:

3 3.2 PI P 4 外乱 ( 摩擦など ) T dis 目標の速度 ω cmd + - 電流 K A Kt p P 制御ゲイン トルク定数モータ駆動回路 - + モータ 1 Js + D ω 実際の速度 4: P P 1 I PI 5 目標の速度 ω cmd + I 制御ゲイン - Ki 1 s 積分 + + 電流 K A Kt p P 制御ゲイン 外乱 ( 摩擦など ) T dis トルク定数 Js + D ω モータ駆動回路 モータ 実際の速度 5: PI

4 C PC AM PM X Y 2 HEW4 RobotJikken.hws MPU HEW4 6 OK 6: HEW4 Assembly source file C source file main.c main.c C 3 main while(1) while(1) Start 1 user main() main Start user main() #pragma RAM user main() wheel cnt right wheel cnt left PC int user main()

5 #pragma section USR void user_main(void) { float t=0.0; wheel_cnt_right=0; wheel_cnt_left=0; while(1){ Wait( 100 );//1s t=t+1.0; #pragma section // RS-232C PC print_float( t ); // t print_string( "\t" ); // print_int(wheel_cnt_right); // print_string( "\t" ); // print_int( wheel_cnt_left); // print_string( "\r\n" ); // 4 PC SysJikken.exe RAM ROM RAM C SysJikken.exe SysJikken.exe, P P 1 1 θ right = wheel cnt right 2π (1) 120 PC π= π

6 #pragma section USR void user_main(void) { float t=0.0; -> float theta_r, theta_l; // wheel_cnt_right=0; wheel_cnt_left=0; while(1){ Wait( 100 );//1s // -> theta_r = (float)wheel_cnt_right/120.0 * 2.0* 3.14 ; -> theta_l = (float)wheel_cnt_left /120.0 * 2.0* 3.14 ; // RS-232C PC print_float( t ); print_string( "\t" ); -> print_float(theta_r); // _float() print_string( "\t" ); -> print_float( theta_l); // _float() print_string( "\r\n" ); t=t+1.0; #pragma section 2 (rad/s) 1 (1 ) ω right ω right (k)= θ right(k) θ right (k 1) Δt (2) θ right (k) θ right (k 1) 1 (2) (2) ω right (k)=αω right(k)+(1 α) ω right (k 1) (3) (3) ω right (k) ω right (k 1) α α = 0.1

7 #pragma section USR void user_main(void) { float t=0.0; float theta_r, theta_l; -> float theta_old_r=0.0, theta_old_l=0.0; -> float omega_r, omega_l; -> float omega_old_r=0.0, omega_old_l=0.0; -> float alpha=0.1; -> int loop_counter=0; wheel_cnt_right=0; wheel_cnt_left=0; while(1){ -> wait_for_10ms_timer(); // 10ms // theta_r = (float)wheel_cnt_right/120.0 * 2.0* 3.14 ; theta_l = (float)wheel_cnt_left /120.0 * 2.0* 3.14 ; // -> omega_r= (theta_r-theta_old_r)/0.01; //(2) -> omega_l= (theta_l-theta_old_l)/0.01; -> omega_r= alpha * omega_r +(1-alpha)*omega_old_r;//(3) -> omega_l= alpha * omega_l +(1-alpha)*omega_old_l; -> theta_old_r=theta_r;// (k-1) -> theta_old_l=theta_l; -> omega_old_r=omega_r;// (k-1) -> omega_old_l=omega_l; // // P PI // // RS-232C PC -> if( (loop_counter%10)==0 ){ // 10 1 print_float( t ); print_string( "\t" ); -> print_float( omega_r); // print_string( "\t" ); -> print_float( omega_l); // print_string( "\r\n" ); -> -> loop_counter++; -> t=t ; // #pragma section rad/s

8 3 P P u right = K p (ω cmd ω right ) (4) K p ω cmd (rad/s) ω right u right ( ) Motor R( (int)u right ); Motor L( (int)u left ); Motor R()/Motor L() B P K p = 2.0, ω cmd = 2π [rad/s] 4 SysJikken.exe 7 K p K p (rad/s) : 4.3 PI P

9 I P I PI PID 1 PI e right e right = ω cmd ω right (5) s 1/s e right u right = K p e right +K i {{{{ s P I (6) 1 P 2 2 e right K i 8 長方形で近似 現在時刻 Δt e right time 長方形の面積を足し合わせていく 8: 8 u integ right = u integ right+ K i e right Δt (7) u integ right (6) 2 Δt 0.01 P PI K p = 2.0, K i = 5.0 ω cmd = 2π [rad/s]

10 2 K p = 2.0 K i K i (rad/s) P PI P PI P K i K p =2.0 PI K p =2.0, K i =5.0 SysJikken.exe 4.4 PI rad/s rad/s 2 3rad/s 2 [rad/s] ω cmd 6.0 加速区間等速区間減速区間 停止 Time [sec] 9: 1 9 2

11 3 main.c user main() user main() OK #pragma section USR void user_main(void) { // // P PI // if( t < 2 ){ // omega_cmd = ; else if( t < 4 ){ // omega_cmd = ; else if( t < 6 ){ // omega_cmd = ; else{ // omega_cmd = 0 ; //PI #pragma section

12 v m/s ω rad/s 1 ω left 車輪の間隔 W 並進速度 v ω right ω R 車輪の半径 並進速度 v ω 回転速度 10: 5.2 [ω right,ω left ] (8) [v, ω] [ ] [ ][ ] v R R ω = 2 2 ω right (8) ω left R W R W R W 10 v, ω PI e v e ω e v = v cmd v e ω = ω cmd ω (9) v cmd ω cmd PI u v = K p e v + K i e v s u ω = K p e ω + K i e ω s (10)

13 u v u ω (8) [ω right,ω left ] [ ] [ ] 1 [ ] ω R R right = 2 2 v R ω left W R W ω [ ][ ] 1 W = R 2R v (11) ω 1 R u v u ω (11) (11) u v u ω [ ] [ ][ ] u 1 W right = R 2R u v (12) u left u ω 1 R u right u left Motor R( (int)u right ); Motor L( (int)u left ); 11 T (8) W 2R W 2R ロボットの目標速度 v cmd ω cmd + I 制御ゲイン - Ki 1 s 積分 電流 K T A Kt p P 制御ゲイン 座標変換 モータ駆動回路 外乱 ( 摩擦など ) T dis トルク定数 - + モータ 1 Js + D ω ロボットの速度 v ω T 座標変換 車輪の回転速度 ω ω right left 11: PI RobotJikken.hws HEW4 2 1 R W ( m ) K p = 6.0, K i = 12.0, v cmd = 0.2 [m/s], ω cmd = 0.0 [rad/s]

14 #pragma section USR void user_main(void) { float t=0.0; float theta_r, theta_l; float theta_old_r=0.0, theta_old_l=0.0; float omega_r, omega_l; float omega_old_r=0.0, omega_old_l=0.0; float alpha=0.1; int loop_counter=0; -> // -> wheel_cnt_right=0; wheel_cnt_left=0; while(1){ wait_for_10ms_timer(); // 10ms // theta_r = (float)wheel_cnt_right/120.0 * 2.0* 3.14 ; theta_l = (float)wheel_cnt_left /120.0 * 2.0* 3.14 ; // omega_r= (theta_r-theta_old_r)/0.01; //(2) omega_l= (theta_l-theta_old_l)/0.01; omega_r= alpha * omega_r +(1-alpha)*omega_old_r;//(3) omega_l= alpha * omega_l +(1-alpha)*omega_old_l; theta_old_r=theta_r;// (k-1) theta_old_l=theta_l; omega_old_r=omega_r;// (k-1) omega_old_l=omega_l; -> // (8) v, -> // (9) e_v, e_ -> // (10) PI 1 -> // (12) Motor_R( (int)u_right ); Motor_L( (int)u_left ); // RS-232C PC if( (loop_counter%10)==0 ){ print_float( t ); print_string( "\t" ); print_float( omega_r); print_string( "\t" ); print_float( omega_l); print_string( "\r\n" ); loop_counter++; t=t ; #pragma section //

15 3 v cmd ω cmd 0.25m rad/s 4 12 (A) (B) (B) (A) (8) 1 1 if 4 辺の直線はすべて 0.1m 0.1m 8 秒で 1 周 ( 等速 ) 曲率半径 0.15m 0.1m 8 秒で 1 周 ( 等速 ) 曲率半径 0.15m 4 隅のカーブはすべて同じ円弧 スタート地点 3 つのカーブはすべて同じ曲率の円弧 0.1m スタート地点 45 度 (A) (B) 12: 5 main.c user main() user main() OK

16 P PI PI K p K i 2

17 (BANDAI) P.42

18 Act Fwd(int p1,int p2) Act Bwd(int p1,int p2) Act Turn FR(int p1,int p2) Act Turn FL(int p1,int p2) Act Turn BR(int p1,int p2) Act Turn BL(int p1,int p2) Act Rot R(int p1,int p2) Act Rot L(int p1,int p2) Act Stop(void) Motor R(int p) Motor L(int p) Wait(int t) wait for 10ms timer(void) wait for 1ms timer(void) AD get ad(int ch) get line sensor(int ch) PC print int( int val ) print float(float val ) print string( char str[] ) Wait(0) Wait p1: 1 31 p2: [cm] ( 250 ) p1: 1 31 p2: [cm] ( 250 ) p1: 1 31 p2: [deg] ( 400 ) p1: 1 31 p2: [deg] ( 400 ) p1: 1 31 p2: [deg] ( 400 ) p1: 1 31 p2: [deg] ( 400 ) p1: 1 31 p2: [deg] ( 400 ) p1: 1 31 p2: [deg] ( 400 ) ( ) p: ( ) p: t: 10ms 1=10ms, 100=1s t=0 10ms 1ms AD int ch: 0 3 (CdS) (AD ) int ch: 0 2 0= 1= 2= int PC val: int float PC val: float 2 PC str: "YrYn"

19 RAM ROM RAM 13 MPU RAM 2KB user main RAM RAM RAM 1 user main() RAM ROM RAM int sci3() Down Data() RAM RAM X Y YRobotJikkenYRelease RobotJikken.mtr RobotJikken.mot user main() Step1 RAM SysJikken.exe Step2 RobotJikken.mtr!! Step3 RAM 4 LED 2 Start RAM RAM #pragma section 2 ROM ROM 内蔵メモリのアドレス空間 アドレス ( 番地 ) 0x0000 割り込みベクタ 0x0034 0x3FFF ROM ここにプログラムコードが格納される «ªªªªªªªª«電源を切っても保持される!! 16KBのROM( フラッシュメモリ ) 先頭の 割り込みベクタ は ハードウェア割り込みの設定用 ROM は実行中 書き換えることができないため プログラムや定数データの格納に使う ブートモードに設定することで ROM の内容は書き換えることが可能 未使用アドレス空間 16KB の ROM( フラッシュメモリ ) と RAM を内蔵 0xF780 RAM スタック領域に利用 0xFF80 内部 I/Oレジスタ «ªªª ªªª «0xFFFF 電源を切るとデータは消滅する! 2KB の RAM RAM は実行中 自由に書き換えることができるため 通常では値の変更を伴う変数データの格納に使う またプログラムコードを置くなど任意に使うこともできる 13: HD64F3672FP

20 C while while( 1) 1 1 ( ) 1 i=0; while( i< 10 ){ ( 1) i++; i=0 1 i i++ 2 i 10 for while(1){ ( 2) for(;;) break (break ). while(1)

21 for for( 1; 2; 3) for(i=0; i< 10; i++){ ( 1) i=0 1 i++ i++ i=i+1 i i for(;;){ ( 2) break (break ).

22 do while do { 1 while( 1) ( ) 1 i=0; do{ ( 1) i++; while( i < 100 ); 1 i 100 do{ break break; do, for, while, switch 1 while(1){ ( 1) if( value == 0) break; value 0 (if ), continue continue; do, for, while 1 while(1){ 1 if( value == 0) continue; 2; value 0 2

23 if if( 1){ ( 1) else{ ( 2) if(i==1){ ( 1) else{ ( 2) i 1 1 (== ) 2 2 if(i==1){ ( 1) else 3 if( i>=0 && i<=5 ){ ( 1) && i =0 i =5 AND 4 if( i!=0 i!=5 ){ ( 1) i!=0 i!=5 OR!= 5 if( i<5 ){ ( 1) else if( i<10 ){ ( 2) else{ ( 3) else if i 5 1 else if i

24 switch, case switch( 1 ){ case 1: 1 (break;) case 2: 2 (break;) default: 3 1 default case case break switch 1 if switch 1 switch( value ){ case 0: 1; break; case 1: 2; break; case 2: 3 break; default: 4; value switch

25 goto goto ; goto 1 my_func(){ if(i == 1) goto label1; i=i+3; label1: i=j+2; if label1: switch continue, return { goto goto

26 == = = = 1== 2 1 = 2 2 True, False if while 1 if( i==1 ) i=i+1; if( j<=1 ) j=j+1; if( k>=1 ) k=k+1; if( m!=1 ) m=m+1; if( n <1 ) n=n+2; if( i=1 ) break; == = i=1 i=1 break , --, i=0; j=0; i++; j--; val=++i; val=i++; ++(- -) val=++i; val i i=i+1 val=i++; i val i=i+1

27 && AND OR 1&& 2, 1 2 &&: 1 2 : if( a==1 && b==1 && c==1) i=10; if( x==1 y==1 z==1 ) i=0; & AND OR ˆ OR 1& 2, a1=0x00ff; a2=0xff00; a3= a1 & a2; // a3=0x0000 a4= a1 a2; // a4=0xffff 2 a1 a2 AND OR 2 a1=0x01; a2=0x10; a3= a1<<1; // a3=0x02 a4= a2>>1; // a4=0x08 2 a1 a2

28 rand() srand() rand(), srand( seed value ) seed value 1 value=rand(); value rand() rand() srand() 2 srand( time(null) ); //srand value=rand();

29 printf() printf(...,...) printf: 1 printf("my Name is CIST.\n");... \ n 2 i=100; printf("answer= %d \n", i); %d i %d 3 value=0.1234; printf("answer= %f \n", value); %f value %f 4 i=10; value=0.1234; printf("answer= %d, Value = %f \n", i, value); Answer = 10, Value =

jikken.dvi

jikken.dvi I 1 ROM( ) RAM 1 2 2 ( 1) H8-Tiny H8/3672 HD64F3672FP 16 CPU ( 16MHz) ROM 16KB, RAM 2048 2KB AD LED 1(c) ( A ) 赤外 LED 前 CdS セル LED 表示 RS232C 端子 (PC との接続端子 ) センサ類 ラインセンサ x3 (LED+CdS セル ) モータドライバ ターゲット Start

More information

前回の内容 マイクロコンピュータにおけるプログラミング PC上で作成 コンパイル マイコンに転送 実行 プログラムを用いて外部の装置を動作させる LED turnonled turnoffled LCD printf プログラムを用いて外部の装置の状態を読み取る プッシュスイッチ getpushsw

前回の内容 マイクロコンピュータにおけるプログラミング PC上で作成 コンパイル マイコンに転送 実行 プログラムを用いて外部の装置を動作させる LED turnonled turnoffled LCD printf プログラムを用いて外部の装置の状態を読み取る プッシュスイッチ getpushsw ものづくり基礎工学 情報工学分野 前回の内容 マイクロコンピュータにおけるプログラミング PC上で作成 コンパイル マイコンに転送 実行 プログラムを用いて外部の装置を動作させる LED turnonled turnoffled LCD printf プログラムを用いて外部の装置の状態を読み取る プッシュスイッチ getpushsw ディップスイッチ getdipsw スイッチの状態によって関数の戻り値が変わる

More information

3 3.1 LAN ISDN (IP) 2 TCP/UDP IP IP IP IP (Ethernet) Ethernet LAN TCP/UDP LAN Ethernet LAN 2: Ethernet ATM, FDDI, LAN IP IP IP 3 IP 2 IP IP IP IP IP 3

3 3.1 LAN ISDN (IP) 2 TCP/UDP IP IP IP IP (Ethernet) Ethernet LAN TCP/UDP LAN Ethernet LAN 2: Ethernet ATM, FDDI, LAN IP IP IP 3 IP 2 IP IP IP IP IP 3 IP 1 (IP) TCP/IP 1 2 2 1 LAN IP C IP 192.168.0.101 192.168.0.104 HUB 100Base-TX 100Mbps UTP Ethernet HUB 192.168.0.101 192.168.0.102 192.168.0.103 192.168.0.104 1: 6 1 3 3.1 LAN ISDN (IP) 2 TCP/UDP IP

More information

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k 63 3 Section 3.1 g 3.1 3.1: : 64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () 3 9.8 m/s 2 3.2 3.2: : a) b) 5 15 4 1 1. 1 3 14. 1 3 kg/m 3 2 3.3 1 3 5.8 1 3 kg/m 3 3 2.65 1 3 kg/m 3 4 6 m 3.1. 65 5

More information

fuga scanf("%lf%*c",&fuga); 改行文字を読み捨てる 10 進数の整数 おまじない取り込んだ値を代入する変数 scanf( %d%*c,&hoge); キーボードから取り込め という命令 1: scanf 1 1: int double scanf %d %lf printf

fuga scanf(%lf%*c,&fuga); 改行文字を読み捨てる 10 進数の整数 おまじない取り込んだ値を代入する変数 scanf( %d%*c,&hoge); キーボードから取り込め という命令 1: scanf 1 1: int double scanf %d %lf printf C 2007 5 16 9 1 9 9 if else for 2 hoge scanf("%d%*c",&hoge); ( 1 ) scanf 1 %d 10 2 %*c (p.337) [Enter] &hoge hoge 1 2 10 decimal number d 1 fuga scanf("%lf%*c",&fuga); 改行文字を読み捨てる 10 進数の整数 おまじない取り込んだ値を代入する変数

More information

K227 Java 2

K227 Java 2 1 K227 Java 2 3 4 5 6 Java 7 class Sample1 { public static void main (String args[]) { System.out.println( Java! ); } } 8 > javac Sample1.java 9 10 > java Sample1 Java 11 12 13 http://java.sun.com/j2se/1.5.0/ja/download.html

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code // USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 2017.03.16 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code void main(void) /* IO ピン初期設定 */ ANSEL = 0x00; // 0b10000000

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

(search: ) [1] ( ) 2 (linear search) (sequential search) 1

(search: ) [1] ( ) 2 (linear search) (sequential search) 1 2005 11 14 1 1.1 2 1.2 (search:) [1] () 2 (linear search) (sequential search) 1 2.1 2.1.1 List 2-1(p.37) 1 1 13 n

More information

Microsoft PowerPoint - C_Programming(3).pptx

Microsoft PowerPoint - C_Programming(3).pptx H23 年度秋学期情報スキル活用 入門 担当 : 田中基彦 ( 工学部共通教育科 ) Email: ak_tanaka@isc.chubu.ac.jp 授業のホームページ学術情報センター > 教育支援 > 情報リテラシー 授業の日程 講義内容提出課題 連絡事項を掲載 > 定期的にアクセスして確認する C 言語によるプログラミング (3) 制御文 繰り返し文 if, while, switch, for,

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

‚æ4›ñ

‚æ4›ñ ( ) ( ) ( ) A B C D E F G H I J K L M N O P Q R S T U V W X Y Z a b c d e f g h i j k l m n o p q r s t u v w x y z 0 1 2 3 4 5 6 7 8 9 (OUS) 9 26 1 / 28 ( ) ( ) ( ) A B C D Z a b c d z 0 1 2 9 (OUS) 9

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

Microsoft Word - 本文.doc

Microsoft Word - 本文.doc - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング ForCy USB 2.0 初版 2007/08/03 第 2 版 2009/02/03 有限会社リカージョン - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング FORTH C + 4 / :ForCy i ForCy-USB ForCy-USB USB ForCy C - 2 - ForCy-USB Atmel

More information

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 1. 1.1. 1.2. 1 PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 2. 2.1. 2 1 2 C a b N: PC BC c 3C ac b 3 4 a F7 b Y c 6 5 a ctrl+f5) 4 2.2. main 2.3. main 2.4. 3 4 5 6 7 printf printf

More information

Java演習(4) -- 変数と型 --

Java演習(4)   -- 変数と型 -- 50 20 20 5 (20, 20) O 50 100 150 200 250 300 350 x (reserved 50 100 y 50 20 20 5 (20, 20) (1)(Blocks1.java) import javax.swing.japplet; import java.awt.graphics; (reserved public class Blocks1 extends

More information

maxon hat den richtigen drive

maxon hat den richtigen drive ようこそ! EPOS( エポス ) へ maxon has the right drive EPOS とはどんな製品か? Easy To Use POsitioning System スタート アップが簡単 理解が容易 動作開始までの手順がわずか シンプルな設定とコマンド アドバンスド テクノロジー 低価格 by maxon Japan, November 2010 EPOS の概要, page 2

More information

Microsoft PowerPoint - timer_pwm2.pptx

Microsoft PowerPoint - timer_pwm2.pptx タイマー割込みと PWM 周波数設定の演習 timer_pwm2 PSoC Experiment Lab Experiment Course Material V1.20 June 25 th., 2019 timer_pwm2.pptx (17Slides) Renji Mikami MIKAMI CONSULTING タイマー割込みを使用して PWM で音楽を演奏 ラボドレミ タイマーからの割り込みと

More information

スライド 1

スライド 1 グラフィックスの世界第 3 回 サイバーメディアセンター サイバーコミュニティ研究部門安福健祐 Processing によるアニメーション setup と draw void setup() size(400, 400); void draw() ellipse( mousex,mousey,100,100); void とか setup とか draw とかはじめて見る が出てきてややこしい ellipseは円描く関数でした

More information

Microsoft Word - マイコンを用いた信号# doc

Microsoft Word - マイコンを用いた信号# doc 1. C 2. 2.1 1980 Z80 PIC AVR SuperH H8 PICPeripheral Interface Controller 20MHz AD RA2 RA3 RA4 CLR VSS RB0 RB1 RB2 RB3 1 2 3 4 5 6 7 8 9 PIC16F84A-20P 0315025 18 RA1 17 RA0 16 CLK1 15 CLK2 14 VDD 13 RB7

More information

DCモータの制御

DCモータの制御 Arduino の紹介 京都大学防災研究所技術室 中川潤 目次 はじめに Arduino とは DC モーターの制御 振動台模型の活用 センサーの液晶表示 センサー液晶表示の活用 おわりに 参考文献 はじめに 目的 Arduino の簡単な使い方を学んでもらい 業務に役立てるきっかけにする Arduino が実際にどんなものに使用できるかイメージをつかんでもらう Arduino とは マイコンを使った開発ボードである

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

char int float double の変数型はそれぞれ 文字あるいは小さな整数 整数 実数 より精度の高い ( 数値のより大きい より小さい ) 実数 を扱う時に用いる 備考 : 基本型の説明に示した 浮動小数点 とは数値を指数表現で表す方法である 例えば は指数表現で 3 書く

char int float double の変数型はそれぞれ 文字あるいは小さな整数 整数 実数 より精度の高い ( 数値のより大きい より小さい ) 実数 を扱う時に用いる 備考 : 基本型の説明に示した 浮動小数点 とは数値を指数表現で表す方法である 例えば は指数表現で 3 書く 変数 入出力 演算子ここまでに C 言語プログラミングの様子を知ってもらうため printf 文 変数 scanf 文 if 文を使った簡単なプログラムを紹介した 今回は変数の詳細について習い それに併せて使い方が増える入出力処理の方法を習う また 演算子についての復習と供に新しい演算子を紹介する 変数の宣言プログラムでデータを取り扱う場合には対象となるデータを保存する必要がでてくる このデータを保存する場所のことを

More information

1 1 TA, ,9 1. ( 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test)

1 1 TA, ,9 1. (  2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) 1 1 TA, 20 10 6,9 1. (http://www.cyb.mei.titech.ac.jp/2008ss2/main.htm) 2. TM TM GUI TM 1. P7-13 TM Notepad, Meadow, ( P109 ). 2. (shisaku01/sys test) H src c startup ncrt0 ss2.a30 sect30 ss2.inc 1 1 /

More information

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63>

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63> C 言語講座第 2 回 作成 : ハルト 前回の復習基本的に main () の中カッコの中にプログラムを書く また 変数 ( int, float ) はC 言語では main() の中カッコの先頭で宣言する 1 画面へ出力 printf() 2 キーボードから入力 scanf() printf / scanf で整数を表示 / 入力 %d 小数を表示 / 入力 %f 3 整数を扱う int 型を使う

More information

Microsoft Word - プログラムをRAM.doc

Microsoft Word - プログラムをRAM.doc プログラムを RAM 上でデバッグする場合の説明 対象 CPU 1)H8/300H H8S シリーズ H8SX シリーズ SH-2 シリーズが対象になります Rev1.50 DEF バージョン 6.30A 仕様より DEF バージョン 7.10A 仕様より 機能 1)BSC( バスステートコントローラ ) による拡張 RAM でのデバッグに対応しました 2)PBC/UBC 無しタイプの CPU 品種でもプログラムメモリが

More information

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載 S MLD-030-ST ブラシレス DC モータドライバ コネクター定義 マーク 定義 DC+/DC- DC 電源入力 (DC24~DC48),, モータリード線 Hu,Hv,Hw ホール素子リード線 REF+ ホール素子電源 (+) REF- ホール素子電源 (-) S 外部ポテションメータ ( 内蔵ポテションメータにてスピード調整時は接続なし ) 又は記述 1 のパルスレート COM コモングラウンド

More information

C による数値計算法入門 ( 第 2 版 ) 新装版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 新装版 1 刷発行時のものです.

C による数値計算法入門 ( 第 2 版 ) 新装版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.  このサンプルページの内容は, 新装版 1 刷発行時のものです. C による数値計算法入門 ( 第 2 版 ) 新装版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/009383 このサンプルページの内容は, 新装版 1 刷発行時のものです. i 2 22 2 13 ( ) 2 (1) ANSI (2) 2 (3) Web http://www.morikita.co.jp/books/mid/009383

More information

8 if switch for while do while 2

8 if switch for while do while 2 (Basic Theory of Information Processing) ( ) if for while break continue 1 8 if switch for while do while 2 8.1 if (p.52) 8.1.1 if 1 if ( ) 2; 3 1 true 2 3 false 2 3 3 8.1.2 if-else (p.54) if ( ) 1; else

More information

Microsoft Word - C.....u.K...doc

Microsoft Word - C.....u.K...doc C uwêííôöðöõ Ð C ÔÖÐÖÕ ÐÊÉÌÊ C ÔÖÐÖÕÊ C ÔÖÐÖÕÊ Ç Ê Æ ~ if eíè ~ for ÒÑÒ ÌÆÊÉÉÊ ~ switch ÉeÍÈ ~ while ÒÑÒ ÊÍÍÔÖÐÖÕÊ ~ 1 C ÔÖÐÖÕ ÐÊÉÌÊ uê~ ÏÒÏÑ Ð ÓÏÖ CUI Ô ÑÊ ÏÒÏÑ ÔÖÐÖÕÎ d ÈÍÉÇÊ ÆÒ Ö ÒÐÑÒ ÊÔÎÏÖÎ d ÉÇÍÊ

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 フルカラーシリアル LED テープ (1m) を GR-KURUMI で使ってみる 2014/2/25 がじぇっとるねさす鈴木 Rev. 1.00 フルカラーシリアル LED の特徴 http://www.switch-science.com/catalog/1399/ 3570 円 1m で 60 個の LED がついている 電源と信号線 1 本で制御する x 24 この信号を 24 個送信して

More information

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]=

057 { 058 int i; 059 timecounter=0; 060 pwmvalue=0;x=0;v=0; 061 requestdisplaydata=1; 062 tick=1; 063 for (i=0; i<rbuffsize; i++) { 064 ringbuffer[i]= 制御工学 I 前期中間試験問題 June2005 担当小坂 次のプログラムは,H8 モータ実験装置で位置フィードバック + 速度フィードバックを使って, モータ軸をある角度だけ回転させて止めようとするプログラムである 後の問いに答えなさい 001 /********************************************************** 002 プログラムの説明 003

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

Java updated

Java updated Java 2003.07.14 updated 3 1 Java 5 1.1 Java................................. 5 1.2 Java..................................... 5 1.3 Java................................ 6 1.3.1 Java.......................

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

:30 12:00 I. I VI II. III. IV. a d V. VI

:30 12:00 I. I VI II. III. IV. a d V. VI 2018 2018 08 02 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF N N y N x N xy yx : yxxyxy N N x, y N (parse tree) (1) yxyyx (2) xyxyxy (3) yxxyxyy (4) yxxxyxxy N y N x N yx

More information

r07.dvi

r07.dvi 19 7 ( ) 2019.4.20 1 1.1 (data structure ( (dynamic data structure 1 malloc C free C (garbage collection GC C GC(conservative GC 2 1.2 data next p 3 5 7 9 p 3 5 7 9 p 3 5 7 9 1 1: (single linked list 1

More information

PowerPoint Presentation

PowerPoint Presentation p.130 p.198 p.208 2 double weight[num]; double min, max; min = max = weight[0]; for( i= 1; i i < NUM; i++ ) ) if if ( weight[i] > max ) max = weight[i]: if if ( weight[i] < min ) min = weight[i]: weight

More information

ohp07.dvi

ohp07.dvi 19 7 ( ) 2019.4.20 1 (data structure) ( ) (dynamic data structure) 1 malloc C free 1 (static data structure) 2 (2) C (garbage collection GC) C GC(conservative GC) 2 2 conservative GC 3 data next p 3 5

More information

SystemC言語概論

SystemC言語概論 SystemC CPU S/W 2004/01/29 4 SystemC 1 SystemC 2.0.1 CPU S/W 3 ISS SystemC Co-Simulation 2004/01/29 4 SystemC 2 ISS SystemC Co-Simulation GenericCPU_Base ( ) GenericCPU_ISS GenericCPU_Prog GenericCPU_CoSim

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

CM-3G 周辺モジュール拡張技術文書 MS5607センサ(温度、気圧)

CM-3G 周辺モジュール拡張技術文書 MS5607センサ(温度、気圧) CM-3G 周辺モジュール拡張技術文書 MS5607 センサ ( 温度 気圧 ) ( 第 1 版 ) Copyright (C)2016 株式会社コンピューテックス 目次 1. はじめに... 1 2. MS5607 について... 1 3. 接続図... 1 4. アプリケーション ソース... 2 5. アプリケーションのコンパイル方法... 7 6. アプリケーションの実行... 8 1. はじめに

More information

Microsoft PowerPoint - C言語の復習(配布用).ppt [互換モード]

Microsoft PowerPoint - C言語の復習(配布用).ppt [互換モード] if 文 (a と b の大きい方を表示 ) C 言語 Ⅰ の復習 条件判定 (if, 条件式 ) ループ (for[ 二重まで ], while, do) 配列 ( 次元 次元 ) トレース int a, b; printf( 整数 a: ); scanf( %d, &a); printf( 整数 b: ); scanf( %d, &b); //つのif 文で表現する場合間違えやすい どっちに =

More information

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

XMPによる並列化実装2

XMPによる並列化実装2 2 3 C Fortran Exercise 1 Exercise 2 Serial init.c init.f90 XMP xmp_init.c xmp_init.f90 Serial laplace.c laplace.f90 XMP xmp_laplace.c xmp_laplace.f90 #include int a[10]; program init integer

More information

Copyright c 2009 by Masaki Yagi

Copyright c 2009 by Masaki Yagi 041238 2009 2 Copyright c 2009 by Masaki Yagi c 2009 Masaki Yagi All rights reserved ,,,,,,,,,,,,,, 3,,,,,,, i 1 1 11 1 12 1 2 2 21 2 22 3 23 4 24 4 25 5 26 5 3 6 31 6 32 10 33 11 4 13 41 13 42 14 43

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

inst.c

inst.c 1#include 2#include 3#include // 乱乱乱乱 PC 内ののの規規に従っっ 疑疑乱乱を発発ささの 4#include // 現現の時時を秒乱で返す乱乱 5 6#define DATA BIT0 // DATA P2.0 7#define CLOCK BIT1 // CLOCK P2.1

More information

スライド 1

スライド 1 2013 年 6 月 10 日 : 草稿 2013 年 7 月 19 日 : 初稿 マイコンをはじめよう センサを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp センサを使おう 第 3 回 2013/8/3(Sat)

More information

パラメータ表 (VEA TYPE) 設定者 System 270 件名 PWM Mode 2(1/100Hz) 日時 エンコータ なし エンコータ 有り コート No. 内 容 設定範囲 初期設定 設定値 (1) 設定値 (2) 0 1stエンコーダパルス設定 [PLS] 0~

パラメータ表 (VEA TYPE) 設定者 System 270 件名 PWM Mode 2(1/100Hz) 日時 エンコータ なし エンコータ 有り コート No. 内 容 設定範囲 初期設定 設定値 (1) 設定値 (2) 0 1stエンコーダパルス設定 [PLS] 0~ 1. システムパラメータ一覧 パラメータ表 (VEA TYPE) 設定者 2002.09.24 System 270 件名 PWM Mode 0 or 3(1/100Hz) 日時 コート No. 内 容 設定範囲 初期設定 設定値 (1) 設定値 (2) 0 1stエンコーダパルス設定 [PLS] 0~99999999 1000 1 2ndエンコーダパルス設定 [PLS2] 0~99999999 1000

More information

[ 1] 1 Hello World!! 1 #include <s t d i o. h> 2 3 int main ( ) { 4 5 p r i n t f ( H e l l o World!! \ n ) ; 6 7 return 0 ; 8 } 1:

[ 1] 1 Hello World!! 1 #include <s t d i o. h> 2 3 int main ( ) { 4 5 p r i n t f ( H e l l o World!! \ n ) ; 6 7 return 0 ; 8 } 1: 005 9 7 1 1.1 1 Hello World!! 5 p r i n t f ( H e l l o World!! \ n ) ; 7 return 0 ; 8 } 1: 1 [ ] Hello World!! from Akita National College of Technology. 1 : 5 p r i n t f ( H e l l o World!! \ n ) ;

More information

untitled

untitled II yacc 005 : 1, 1 1 1 %{ int lineno=0; 3 int wordno=0; 4 int charno=0; 5 6 %} 7 8 %% 9 [ \t]+ { charno+=strlen(yytext); } 10 "\n" { lineno++; charno++; } 11 [^ \t\n]+ { wordno++; charno+=strlen(yytext);}

More information

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします はじめにこのたびは 弊社 T-IADA168 をお買い上げ頂きましてまことにありがとうございます このマニュアルは T-IADA168 の概要等について説明しています 各 LSI についての詳細は必要に応じてデータシートを参照してください ハードウエアの不具合に関しましてのサポートはいたしますが RTOS を含めたソフト面のサポートは基本的にはしておりません 注意事項 (1) 本書の内容に関しては将来予告なしに変更することがあります

More information

For_Beginners_CAPL.indd

For_Beginners_CAPL.indd CAPL Vector Japan Co., Ltd. 目次 1 CAPL 03 2 CAPL 03 3 CAPL 03 4 CAPL 04 4.1 CAPL 4.2 CAPL 4.3 07 5 CAPL 08 5.1 CANoe 5.2 CANalyzer 6 CAPL 10 7 CAPL 11 7.1 CAPL 7.2 CAPL 7.3 CAPL 7.4 CAPL 16 7.5 18 8 CAPL

More information

ユーザーズマニュアル(SVCEシリーズ)

ユーザーズマニュアル(SVCEシリーズ) SV-NET CONTROLLER SVCE SV-NET Controller SVCE SV-NET Controller Ether SV-NET SVCE Ethernet EtherCAT EtherCAT SVCE SVCE SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact

More information

1 1 2 2 2.1 Java......... 2 2.2................................. 3 2.3.................................. 3 3 4 3.1....................................

1 1 2 2 2.1 Java......... 2 2.2................................. 3 2.3.................................. 3 3 4 3.1.................................... 06H082 1 1 2 2 2.1 Java......... 2 2.2................................. 3 2.3.................................. 3 3 4 3.1..................................... 4 3.2 GP.....................................

More information

1 (1) X = AB + AB, Y = C D + C D, Z = AD + AD P A, B, C, D P = (XY + X Y + X Y )(Y Z + Y Z + Y Z )(ZX + Z X + Z X ) (2) Q A, B, C, D Q = AB C D + AB C

1 (1) X = AB + AB, Y = C D + C D, Z = AD + AD P A, B, C, D P = (XY + X Y + X Y )(Y Z + Y Z + Y Z )(ZX + Z X + Z X ) (2) Q A, B, C, D Q = AB C D + AB C 平成 28 年度 10 月期入学 / 平成 29 年度 4 月期入学京都大学大学院情報学研究科修士課程システム科学専攻入学者選抜試験問題 専門科目 試験日時 : 平成 28 年 8 月 8 日 ( 月 ) 午後 1 時 00 分より同 4 時 00 分 問題冊子頁数 ( 表紙 中表紙 裏表紙を除いて ): 15 頁 選択科目 : 下記の科目のうち 2 科目を選択し解答すること 注意 : 論理回路 (3)

More information

Taro-テキスト.jtd

Taro-テキスト.jtd 付録 1 実習テキスト Arduinoスケッチプログラミング Arduinoスケッチプログラミング Arduinoスケッチプログラミング 1. 的 作成 : 米田文彦 Arduino アルドゥイーノ の基本構成 機能を理解し 簡単なスケッチ * を行える能力を身につける また パソコンの標準的なキーボードやマウスといった入出力デバイスではなく センサやモータのような物理的な情報を扱える入出力デバイスを用いた制御をすることで

More information

main

main 14 1. 12 5 main 1.23 3 1.230000 3 1.860867 1 2. 1988 1925 1911 1867 void JPcalendar(int x) 1987 1 64 1 1 1 while(1) Ctrl C void JPcalendar(int x){ if (x > 1988) printf(" %d %d \n", x, x-1988); else if(x

More information

MB 端子 2.0x2.0 MB MB MB MB MB

MB 端子 2.0x2.0 MB MB MB MB MB MB0816 DC コアレスモータ ( メタルブラシ ) - 端子 + 端子 MB0816-02 MB0816-04 MB0816-06 MB0816-07 MB0816-09 MB0816-12 2.4 4.2 6.0 7.2 9.0 12.0 14000 14300 13400 14400 14500 15700 15.6 9.2 6.0 5.4 4.4 3.8 9360 9620 8620 9310

More information

Microsoft PowerPoint - 11.pptx

Microsoft PowerPoint - 11.pptx ポインタと配列 ポインタと配列 配列を関数に渡す 法 課題 : 配列によるスタックの実現 ポインタと配列 (1/2) a が配列であるとき, 変数の場合と同様に, &a[0] [] の値は配列要素 a[0] のアドレス. C 言語では, 配列は主記憶上の連続領域に割り当てられるようになっていて, 配列名 a はその配列に割り当てられた領域の先頭番地となる. したがって,&a[0] と a は同じ値.

More information

解きながら学ぶC++入門編

解きながら学ぶC++入門編 !... 38!=... 35 "... 112 " "... 311 " "... 4, 264 #... 371 #define... 126, 371 #endif... 369 #if... 369 #ifndef... 369 #include... 3, 311 #undef... 371 %... 17, 18 %=... 85 &... 222 &... 203 &&... 40 &=...

More information

P03.ppt

P03.ppt (2) Switch case 5 1 1 2 1 list0317.c if /*/ intnum; printf(""); scanf("%d", &num); 2 if (num % 3 == 0) puts( 0"); else if (num % 3 == 1) puts(" 1"); else puts( 32"); 3 if list0318.c /*/ intnum; printf("");

More information

Microsoft Word - 3new.doc

Microsoft Word - 3new.doc プログラミング演習 II 講義資料 3 ポインタ I - ポインタの基礎 1 ポインタとは ポインタとはポインタは, アドレス ( データが格納されている場所 ) を扱うデータ型です つまり, アドレスを通してデータを間接的に処理します ポインタを使用する場合の, 処理の手順は以下のようになります 1 ポインタ変数を宣言する 2 ポインタ変数へアドレスを割り当てる 3 ポインタ変数を用いて処理 (

More information

<4D F736F F F696E74202D F4B87555F A838B B F5F91E63189F12E >

<4D F736F F F696E74202D F4B87555F A838B B F5F91E63189F12E > プログラミング演習 Ⅱ フィジカルコンピューティング 第 1 回 Arduino をはじめよう 担当 : 橋本 フィジカルコンピューティングとは コンピュータにさまざまな入出力デバイスをつないで実世界との物理的なやりとりを実現する方法 プログラミングと電子工作の融合 電気的な装置をプログラムから操れる! 入力 プログラム 出力 人の活動や物理現象 2 センサとアクチュエータ センサ ( 入力 ) 光センサ

More information

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL ブート領域 フラッシュ領域の分割方法 RL78 ファミリ用 C コンパイラ CC-RL 2016 年 10 月 5 日 Rev.2.00 ソフトウエア事業部 ソフトウエア技術部 ルネサスシステムデザイン株式会社 R20UT3475JJ0200 アジェンダ はじめにページ 3 概要ページ 4 ブート領域 フラッシュ領域共通ページ 12 ブート領域ページ 19 フラッシュ領域ページ 38 デバッグツールページ

More information

Microsoft Word - T-ADA16S.doc

Microsoft Word - T-ADA16S.doc T-ADA16S rev.1.0 PC/104 バスシリーズ 12ビットAD 12ビットDA 絶縁 IO 取扱説明書 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

1. ( ) 1.1 t + t [m]{ü(t + t)} + [c]{ u(t + t)} + [k]{u(t + t)} = {f(t + t)} (1) m ü f c u k u 1.2 Newmark β (1) (2) ( [m] + t ) 2 [c] + β( t)2

1. ( ) 1.1 t + t [m]{ü(t + t)} + [c]{ u(t + t)} + [k]{u(t + t)} = {f(t + t)} (1) m ü f c u k u 1.2 Newmark β (1) (2) ( [m] + t ) 2 [c] + β( t)2 212 1 6 1. (212.8.14) 1 1.1............................................. 1 1.2 Newmark β....................... 1 1.3.................................... 2 1.4 (212.8.19)..................................

More information

Microsoft Word - 4章.doc

Microsoft Word - 4章.doc Ⅳ 赤外線 LED 活用例 ( 赤外線通信 ) 3 実験 (1) 赤外線通信の様子を調べる回路の製作ア使用部品実験に使用する部品を表 Ⅳ-3に示す 表 Ⅳ-3 赤外線通信実験ボード部品表 No 部品名 個数 1 家庭用電化製品のリモコン 1 2 ブレットボード 1 3 赤外線受信モジュール 1 4 抵抗 430Ω 1 5 信号確認用赤色発光ダイオード 1 6 測定用オシロスコープ 1 7 電池 BOX

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 条件分岐 if~else if~else 文,switch 文 条件分岐 if~else if~else 文 if~else if~else 文 複数の条件で処理を分ける if~else if~else 文の書式 if( 条件式 1){ 文 1-1; 文 1-2; else if( 条件式 2){ 文 2-1; 文 2-2; else { 文 3-1; 文 3-2; 真条件式

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

<4D F736F F D B D A778F4B835A EC B290882E646F63>

<4D F736F F D B D A778F4B835A EC B290882E646F63> RL78 マイコン学習学習セットマニュアル実用編 第 1 版 2015.4.23 第 1 版 製品概要 本マニュアルは RL78/I1A R5F107DE(38 ピン ) マイコンを使ったマイコン学習セッ トの添付 CD のサンプルプログラムの動作について解説されています 実用編では入門編で見につけた知識を元に 実用になる機器のプログラムの書き方 ハードウエアの扱 い方をサンプルプログラムから重点的に学習します

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x Base_STM32F4_Discovery の説明 2013/09/05 STM32F4 Discovery 基板の CPU STM32F407VG の FlashMemory 0x08010000 番地に書き込んで実行させる ユーザープログラムのためのプロジェクトの雛形です 本プロジェクトを元にユーザープログラムを作成して USB 経由で CPU に書き込みます USB 経由で CPU にプログラムを書き込むためには

More information

ユーザーズマニュアル(SVCCシリーズ)

ユーザーズマニュアル(SVCCシリーズ) SV-NET CONTROLLER SVCC SV-NET Controller SVCC SV-NET Controller Compact SV-NET SVCC SVCC SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact SV-NET Controller Ether C OS C

More information

Microsoft Word - DRSP-Serial Operation Manual_TETRA-DS IV__V1.2_japan.doc

Microsoft Word - DRSP-Serial Operation Manual_TETRA-DS IV__V1.2_japan.doc DRSP SP-Serial Serial Operation Manual TM ) (for TETRA-DS IV TM Linux Version Version 1.2 2012. 01. 目次 (Table of Contents) Chapter 1. 1 Drive Board Serial Reference ----------------------------- 3 Chapter

More information

I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) + x * x + x x (4) * *

I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) + x * x + x x (4) * * 2015 2015 07 30 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) +

More information

PA-S500 取扱説明書

PA-S500 取扱説明書 PA-S500 取扱説明書 Revision 1.05 改訂 2010.Dec.01 R1.00 初版 2011.Aug.02 R1.01 2 版 2012.Feb.03 R1.02 3 版 2012.Feb.16 R1.03 4 版 DIO コネクタピン番号等追加 2012.Feb.17 R1.04 5 版 DIO コネクタ型番等追加 2012.Mar.01 R1.05 6 版 ソフトウェア説明追加

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

1 return main() { main main C 1 戻り値の型 関数名 引数 関数ブロックをあらわす中括弧 main() 関数の定義 int main(void){ printf("hello World!!\n"); return 0; 戻り値 1: main() 2.2 C main

1 return main() { main main C 1 戻り値の型 関数名 引数 関数ブロックをあらわす中括弧 main() 関数の定義 int main(void){ printf(hello World!!\n); return 0; 戻り値 1: main() 2.2 C main C 2007 5 29 C 1 11 2 2.1 main() 1 FORTRAN C main() main main() main() 1 return 1 1 return main() { main main C 1 戻り値の型 関数名 引数 関数ブロックをあらわす中括弧 main() 関数の定義 int main(void){ printf("hello World!!\n"); return

More information

マクロ(シミュレータコマンド)の使い方

マクロ(シミュレータコマンド)の使い方 マクロ ( シミュレータコマンド ) の使い方 2014 年 11 月 04 日第 1.4.0 版 - 1 - 目次 目次... 1 はじめに... 3 マイコンシミュレータのデバッグ機能... 3 スタートアップコマンドファイル... 5 シミュレータコマンド ( マクロ ) 例... 6 I/O 制御等で外的要因待ちをしている場合 ( その1)... 6 I/O 制御等で外的要因待ちをしている場合

More information

Java Java Java Java Java 4 p * *** ***** *** * Unix p a,b,c,d 100,200,250,500 a*b = a*b+c = a*b+c*d = (a+b)*(c+d) = 225

Java Java Java Java Java 4 p * *** ***** *** * Unix p a,b,c,d 100,200,250,500 a*b = a*b+c = a*b+c*d = (a+b)*(c+d) = 225 Java Java Java Java Java 4 p35 4-2 * *** ***** *** * Unix p36 4-3 a,b,c,d 100,200,250,500 a*b = 20000 a*b+c = 20250 a*b+c*d = 145000 (a+b)*(c+d) = 225000 a+b*c+d = 50600 b/a+d/c = 4 p38 4-4 (1) mul = 1

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ボード開発から支える Open IoT シマフジ電機株式会社犬尾 inuo@shimafuji.co.jp 2018/12/18 発表内容 シマフジ電機のご紹介 シマフジ電機で開発した 2 種類の IoT-Engine をご紹介 シマフジ電機のご紹介 シマフジ電機は ボード開発をメインとして HW と SW を最適な形で組み合わせた装置をご提供する 組み込み機器メーカです ソリューション / 製品企画

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

情報システム設計論II ユーザインタフェース(1)

情報システム設計論II ユーザインタフェース(1) プログラミング演習 (5) 条件分岐 (2) 中村, 高橋 小林, 橋本 1 目標 Processing で当たり判定に挑戦! 条件分岐を理解する 何らかの条件を満たした時に色を変える! マウスカーソルと動いている円がぶつかったら終了 シューティングゲームやもぐらたたきに挑戦! 課題 : Processing でゲームを作ろう! 占いを作ってみよう フローチャートと条件分岐 プログラムの流れ 年齢確認

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

Microsoft PowerPoint - kougi9.ppt

Microsoft PowerPoint - kougi9.ppt C プログラミング演習 第 9 回ポインタとリンクドリストデータ構造 1 今まで説明してきた変数 #include "stdafx.h" #include int _tmain(int argc, _TCHAR* argv[]) { double x; double y; char buf[256]; int i; double start_x; double step_x; FILE*

More information

Microsoft Word - ŁtŸ^‡S

Microsoft Word - ŁtŸ^‡S 付録 4 汎用版のニアミス判別ソフトウェアのソースコード汎用版のニアミス判別ソフトウェアのニアミス判別モジュールに関するソースコードを以下に示す. --------------------------------------------------------------------------------------------------------------------------------

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information