ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

Size: px
Start display at page:

Download "ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール"

Transcription

1 1 AV 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な LAB のうち 4 分の 1 をメモリ LAB(MLAB) として使用可能です Quartus II ソフトウェアおよびサポートされるサードパーティ合成ツールは LPM(Library of Parameterized Modules) などのパラメータ化されたファンクションと併用することによって カウンタ 加算器 減算器 および演算ファンクションなどの一般的なファンクションに対して適切なモードを自動的に選択します この章は 以下の項で構成されています LAB 動作モード LAB LAB は ロジックリソースのグループで構成されているコンフィギュレーション可能なロジック ブロックです 各 LAB には に対するコントロール信号をドライブするための専用ロジックが含まれています MLAB は LAB のスーパーセットであり LAB の機能をすべて備えています All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

2 1-2 MLAB 図 1-1: Arria V デバイスの LAB 構造およびインターコネクトの概要 AV この図は LAB インターコネクトにおける Arria V LAB および MLAB 構造の概略を示します C2/C4 C12 Row Interconnects of Variable Speed and Length R14 R3/R6 s Connects to adjacent LABs, memory blocks, digital signal processing (DSP) blocks, or I/O element (IOE) outputs. Direct-Link Interconnect from Adjacent Block Direct-Link Interconnect from Adjacent Block Direct-Link Interconnect to Adjacent Block Direct-Link Interconnect to Adjacent Block LAB MLAB Fast Is Driven from Either Sides by Column Interconnect and LABs, and from Above by Row Interconnect Column Interconnects of Variable Speed and Length MLAB 各 MLAB は 最大 640 ビットのシンプル デュアル ポート SRAM をサポートします 以下のコンフィギュレーションで MLAB 内の各 をコンフィギュレーションできます 32 2 メモリ ブロックとしてコンフィギュレーションすると Arria V GX GT SX および ST デバイスに対する シンプル デュアル ポート SRAM ブロックのコンフィギュレーションが可能 64 1 あるいは 32 2 ブロックとしてコンフィギュレーションすると Arria V GZ デバイスに対する あるいは のシンプル デュアル ポート SRAM ブロックのコンフィギュレーションが可能

3 AV MLAB 1-3 図 1-2: Arria V GX GT SX および ST デバイスの LAB と MLAB 構造 You can use an MLAB as a regular LAB or configure it as a dual-port SRAM. -Based-32 x 2 -Based-32 x 2 -Based-32 x 2 -Based-32 x 2 -Based-32 x 2 LAB Control Block LAB Control Block You can use an MLAB as a regular LAB or configure it as a dual-port SRAM. -Based-32 x 2 -Based-32 x 2 -Based-32 x 2 -Based-32 x 2 -Based-32 x 2 MLAB LAB

4 1-4 ローカルおよびダイレクト リンク インターコネクト 図 1-3: Arria V GZ デバイスの LAB と MLAB 構造 AV You can use an MLAB as a regular LAB or configure it as a dual-port SRAM. -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 LAB Control Block LAB Control Block You can use an MLAB as a regular LAB or configure it as a dual-port SRAM. -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 -Based-64 x 1 MLAB LAB ローカルおよびダイレクト リンク インターコネクト 各 LAB は高速ローカル インタコネクトとダイレクト リンク インタコネクトを介して 30 個の をドライブすることができます 10 個の が与えられた任意の LAB 内にあり 10 個の が隣接する各 LAB 内にあります ローカル インタコネクトは 同一 LAB 内のカラムとロウのインタコネクトおよび 出力を使用して 同一 LAB 内の をドライブすることができます ダイレクト リンク接続を通して 左側または右側の隣接 LAB MLAB M20K および M10K ブロック あるいはデジタル信号処理 (DSP) ブロックが LAB のローカル インタコネクトをドライブすることもできます このダイレクト リンクによる接続機能は ロウおよびカラム インタコネクトの使用が最小限で済むため さらに高い性能と柔軟性を提供します

5 AV LAB コントロール信号 1-5 図 1-4: Arria V デバイスのための LAB の高速ローカルおよびダイレクト リンク インタコネクト Direct Link Interconnect from Left LAB, Memory Block, DSP Block, or IOE Output Direct Link Interconnect from Right LAB, Memory Block, DSP Block, or IOE Output s s Direct Link Interconnect to Left Fast Local Interconnect Direct Link Interconnect to Right MLAB LAB LAB コントロール信号 各 LAB には にコントロール信号をドライブするための専用ロジックが内蔵されており 2 本の固有のクロック ソースおよび 3 本のクロック イネーブル信号があります LAB コントロール ブロックは 2 本のクロック ソースと 3 本のクロック イネーブル信号を使用して 最大 3 本のクロックを生成します 各クロック信号とクロック イネーブル信号はリンクされています クロック イネーブル信号がディアサートされると 対応する LAB ワイドのクロック信号はオフになります

6 1-6 LAB コントロール信号 図 1-5: Arria V GX, GT, SX, and, ST デバイスの LAB ワイド コントロール信号 この図は LAB 内のクロック ソースおよびクロック イネーブル信号を示しています There are two unique clock signals per LAB. AV Dedicated Row LAB Clocks labclk0 labclk1 labclk2 syncload labclr1 labclkena0 or asyncload or labpreset labclkena1 labclkena2 labclr0 synclr

7 AV リソース 1-7 図 1-6: Arria V GZ デバイスの LAB ワイド コントロール信号 この図は LAB 内のクロック ソースおよびクロック イネーブル信号を示しています Dedicated Row LAB Clocks 6 6 There are two unique clock signals per LAB. 6 labclk0 labclk1 labclk2 syncload labclr1 labclkena0 or asyncload or labpreset labclkena1 labclkena2 labclr0 synclr リソース 1 個の には 4 個のプログラマブル レジスタが含まれています 各レジスタは 次のポートがあります : データ クロック 同期および非同期クリア 同期ロード グローバル信号 汎用 I/O ピン (GPIO) または任意の内部ロジックで レジスタのクロック コントロール信号とクリア コントロール信号をドライブすることができます GPIO ピンまたは内部ロジックは クロック イネーブル信号をドライブします 組み合わせファンクションを実現するときには レジスタがバイパスされ の出力が の出力を直接ドライブします 注 : Quartus II ソフトウェアは自動的に最適な性能を達成するよう をコンフィギュレーションします

8 1-8 リソース 図 1-7: Arria V GX GT SX および ST デバイスにおける の上位レベルのブロック図 AV shared_arith_in carry_in Combinational/ Memory A0 labclk dataf0 datae0 dataa datab 6-Input adder0 D reg0 Q D Q datac Combinational/ Memory A1 reg1 To General or Local Routing datad datae1 dataf1 6-Input adder1 reg2 D Q shared_arith_out carry_out reg3 図 1-8: Arria V GZ デバイスにおける の上位レベルのブロック図 shared_arith_in Combinational/ Memory A0 carry_in labclk dataf0 datae0 6-Input adder0 dataa datab reg0 datac reg1 To General or Local Routing datad datae1 dataf1 6-Input adder1 reg2 Combinational/ Memory A1 shared_arith_out carry_out reg3

9 AV 出力 出力 各 の一般配線出力は ローカル ロウ およびカラム配線リソースをドライブします 2 本の 出力は カラム ロウ またはダイレクト リンク配線接続をドライブできます これらの 出力の 1 本はローカル インタコネクト リソースもドライブできます 加算器 またはレジスタ出力は 出力をドライブすることができます または加算器がある出力をドライブしている状態で レジスタが他の出力をドライブします レジスタ パッキングは 別のレジスタおよび組み合わせロジックをシングル にパッキングできるようにすることで デバイスの稼働率を向上させます フィッティングを向上させる別のメカニズムは レジスタ出力を同じ のルックアップ テーブル () にさせて レジスタを自身のファンアウト とパッキングできるようにする というものです また はラッチされた出力およびラッチされていない出力の両方の 出力もドライブ アウト可能です 1-9

10 1-10 出力 図 1-9: Arria V GX GT SX および ST デバイスの 接続の詳細 AV shared_arith_in carry_in syncload aclr[1:0] clk[2:0] sclr dataf0 datae0 dataa datab datac0 GND + Local Interconnect datac1 + VCC Local Interconnect datae1 dataf1 shared_arith_out carry_out

11 AV 動作モード 1-11 図 1-10: Arria V GZ デバイスの 接続の詳細 shared_arith_in carry_in syncload aclr[1:0] clk[2:0] sclr dataf0 datae0 dataa datab datac0 GND + 3 datac1 3 + VCC datae1 dataf1 shared_arith_out carry_out 動作モード Arria V は 次のいずれかのモードで動作することができます ノーマル モード 拡張 モード 演算モード 共有演算モード

12 1-12 ノーマル モード ノーマル モード ノーマル モードでは 1 個の Arria V で 2 つのファンクション または 1 個の で最大 6 本の入力を持つ 1 つのファンクションを実装できます LAB ローカル インタコネクトからの最大 8 本のデータ入力が組み合わせロジックの入力になります は 完全に独立したファンクションの特定の組み合わせおよび共通の入力を持つファンクションの様々な組み合わせをサポートできます 拡張 モード このモードでは 7 入力ファンクションがラッチされない場合は 未使用の 8 番目の入力をレジスタ パッキングに使用できます テンプレートに適合するファンクションが 次の図に示すように 多くの場合 デザインに Verilog HDL または VHDL コードの if-else 文として現れます 図 1-11: Arria V デバイスの拡張 モードでサポートされる 7 入力ファンクションのテンプレート AV datae0 datac dataa datab datad dataf0 datae1 5-Input 5-Input combout0 D reg0 Q To General or Local Routing dataf1 This input is available for register packing. 演算モード 演算モードの は 2 個の専用全加算器と共に 2 個の 4 入力 を 2 組使用します 専用加算器によって が加算器前ロジックを実行できるため 各加算器は 2 つの 4 入力ファンクションの出力を加算することができます は組み合わせロジックの出力と加算器のキャリー出力の同時使用をサポートしています この動作では 加算器の出力は無視されます このように加算器と組み合わせロジックの出力を併用すると このモードを使用可能なファンクションのリソースが最大 50% 節約されます

13 AV 共有演算モード 1-13 図 1-12: Arria V デバイスの演算モードでの datae0 carry_in adder0 dataf0 datac datab dataa reg0 datad datae1 adder1 reg1 To General or Local Routing dataf1 reg2 carry_out reg3 キャリー チェイン 演算モードまたは共有演算モードにおいて キャリー チェインは 専用加算器間でのキャリー ファンクションを高速化します ArriaV デバイスの 2 ビット キャリー選択機能は 内でキャリー チェインの伝播遅延を半減します キャリー チェインは LAB 内の最初の または 5 番目の から開始できます 最後のキャリー アウト信号は に接続され そこでローカル ロウ カラムのいずれかのインタコネクトに供給されます 高ファンイン演算ファンクションが実装されたときにデバイス内の 1 つの小さな領域で配線が密集するのを防ぐために LAB は次の LAB に接続する前に LAB の上半分または下半分のいずれかのみを使用するキャリー チェインをサポートできます これにより LAB 内の の別の半分をノーマル モードでより幅の狭いファンイン ファンクションの実装に使用できます 最初の LAB 内の上位 5 個の を使用するキャリー チェインは カラム内で次の LAB 内の の上半分に取り込みます 最初の LAB 内の下位 5 個の を使用するキャリー チェインは カラム内で次の LAB 内の の下半分に取り込みます LAB カラムの上半分および MLAB カラムの下半分をバイパスすることができます Quartus II コンパイラは 複数の LAB を自動的にリンクさせることにより 20 個 ( 演算モードまたは共有演算モードでは 10 個 ) を超える で構成される長いキャリー チェインを作成します フィッティング機能を強化するため 長いチェインは垂直に並べ TriMatrix メモリおよび DSP ブロックへの水平方向の接続を高速化することができます キャリー チェインはカラム全体に延長できます 共有演算モード 共有演算モードでは で 3 入力加算が実装できます

14 1-14 共有演算モード このモードでは 4 入力 で をコンフィギュレーションします 各 は 3 本の入力の和または 3 本の入力のキャリーのいずれかを計算します キャリー計算の出力は 共有演算チェインと呼ばれる専用の接続を使用して 次の加算器に供給されます 図 1-13: Arria V デバイスの共有演算モードでの AV shared_arith_in carry_in labclk datae0 datac datab dataa reg0 datad datae1 reg1 To General or Local Routing reg2 shared_arith_out reg3 carry_out 共有演算チェイン 拡張演算モードで使用可能な共有演算チェインは による 3 入力加算器の実装を可能にします これにより 大きな加算器ツリーまたは相関器ファンクションの実装に必要なリソースが大幅に削減されます 共有演算チェインは LAB 内の最初の または 6 番目の のいずれでも開始できます キャリー チェインと同様に LAB カラムは 1 つおきに共有演算チェインも上半分または下半分をバイパス可能です この機能により 共有演算チェインを LAB 内の の半分でカスケード接続し 別の半分を幅の狭いファンイン ファンクションに使用できます すべての LAB では カラムは上半分がバイパス可能で MLAB では 下半分がバイパス可能です Quartus II コンパイラは 複数の LAB を自動的にリンクさせることにより 20 個以上 ( 演算モードまたは共有演算モードでは 10 個の ) で構成される長い共有演算チェインを作成します フィッティング機能を強化するため 長い共有演算チェインは垂直に並べ TriMatrix メモリおよび DSP ブロックへの水平方向の接続を高速化することができます 共有演算チェインはカラム全体に延長できます

15 AV 改訂履歴 1-15 改訂履歴 日付 2013 年 5 月 2012 年 11 月 2012 年 6 月 2011 年 11 月 2011 年 5 月 バージョン 変更内容 ナレッジベースへ既知の文書の問題に関するリンクを追加 M20Kメモリ ブロックを追加し ローカル インタコネクトおよびダイレクト リンク インタコネクトのセクションを更新 レジスタ チェイン出力の情報を 出力のセクションから削除 Arria V GX GT SX およびSTデバイスにおけるの上位レ ベルのブロック図と 接続の詳細図から reg_chain_in と reg_ chain_out ポートを削除 Arria V GZ デバイスへ MLAB 構造を追加 LAB ワイド コントロール信号を Arria V GZ デバイスへ追加 の上位レベルのブロック図を Arria V GZ デバイスへ追加 接続の詳細図を Arria V GZ デバイスへ追加 コンテンツおよび新しいテンプレートを再編成 Quartus II ソフトウェア v12.0 のリリースにより更新 章を再編成 図 1-6 を更新 章を再編成 初版

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

インテル® Arria® 10 コア・ファブリックおよび汎用 I/O ハンドブック

インテル®  Arria® 10 コア・ファブリックおよび汎用 I/O ハンドブック 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 Arria 10 デバイスにおけるロジック アレイ ブロックおよびアダプティブ ロジック モジュール... 7 1.1 LAB... 7 1.1.1 MLAB... 8 1.1.2 ローカル インターコネクトおよびダイレクト リンク インターコネクト...9 1.1.3 共有演算チェーン インターコネクトおよびキャリー

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP 3 2014.08. A10-DSP 署名 この章では 高性能デジタル信号処理 (DSP) アプリケーションでより高いビット精度をサポートするにあたって Arria 10 デバイスの可変精度 DSP ブロックがどのように最適化されるかについて説明します 2014. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE,

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

MAX 10 高速LVDS I/Oユーザー・ガイド

MAX 10 高速LVDS I/Oユーザー・ガイド MAX 10 高速 LVDS I/O ユーザー ガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラ ソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

インテル® Cyclone® 10 LPデバイスの概要

インテル®  Cyclone® 10 LPデバイスの概要 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 Cyclone 10 LP デバイスの概要... 3 Cyclone 10 LP 機能の概要... 4 Cyclone 10 LP で使用可能なオプション... 5 Cyclone 10 LP の最大リソース...6 Cyclone 10 LP のパッケージプラン...6 Cyclone 10 LP の I/O

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

SonicWALL SSL-VPN 4000 導入ガイド

SonicWALL SSL-VPN 4000 導入ガイド COMPREHENSIVE INTERNET SECURITY SonicWALL セキュリティ装置 SonicWALL SSL-VPN 4000 導入ガイド 1 2 3 4 5 6 7 8 9-1 2 - 3 1 4 - 5 2 1. 2. 3 6 3 1. 2. 3. 4. 5. - 7 4 4 8 1. 2. 3. 4. 1. 2. 3. 4. 5. - 9 6. 7. 1. 2. 3. 1.

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

MAX 10高速LVDS I/Oユーザーガイド

MAX 10高速LVDS I/Oユーザーガイド MAX 10 高速 LVDS I/O ユーザーガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラのソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド RAM?????????????ALTSHIFT_TAPS????????????????? 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-2.1 Subscribe 2010 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド

Arria 10におけるプロトコル経由のCvP初期化およびパーシャル・リコンフィギュレーション ユーザーガイド Arria 10 におけるプロトコル経由の CvP 初期化およびパーシャル リコンフィギュレーションユーザーガイド 更新情報 UG-20010 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 Arria 10 における CvP の初期化...1-1 CvP システム... 1-1 CvP を使用するメリット... 1-2

More information

Adobe Acrobat DC 製品比較表

Adobe Acrobat DC 製品比較表 X X Adobe, the Adobe logo, Acrobat, the Adobe PDF logo, Creative Cloud, and Reader are either registered trademarks or trademarks of Adobe Systems Incorporated in the United States and/or other countries.

More information

Software Tag Implementation in Adobe Products

Software Tag Implementation in Adobe Products 2011 Adobe Systems Incorporated. All rights reserved. Software Tagging in Adobe Products Tech Note Adobe, the Adobe logo, and Creative Suite are either registered trademarks or trademarks of Adobe Systems

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

! " # $ % & ' ( ) +, -. / 0 1 2 3 4 5 6 7 8 9 : ; < = >? @ A B C D E F G H I J K L M N O P Q R S T U V W X Y Z [ ] ^ _ ` a b c d e f h i j k l m n o p q r s t u v w x y z { } ~ This product is

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN Xenoma GENKEI Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda 2013 3D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN 2016 Honda EV 2 Autodesk Fusion 360

More information

JABRA BT

JABRA BT USER MANUAL ....................................................... 2 JABRA BT3030..................................... 2............................................ 3...........................................................

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

MAX 10の汎用I/Oのユーザーガイド

MAX 10の汎用I/Oのユーザーガイド MAX 10 の汎用 I/O のユーザーガイド 更新情報 Quartus Prime Design Suite のための更新 16.0 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 I/O の概要...1-1 パッケージ別 MAX 10 デバイスの I/O リソース... 1-2 MAX 10 I/O バーティカル

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

ベース0516.indd

ベース0516.indd QlikView QlikView 2012 2 qlikview.com Business Discovery QlikTech QlikView QlikView QlikView QlikView 1 QlikView Server QlikTech QlikView Scaling Up vs. Scaling Out in a QlikView Environment 2 QlikView

More information

TOEIC(R) Newsletter

TOEIC(R) Newsletter June 2009 No.105 TOEIC Newsletter TOEIC Newsletter No.105 June 2009 2 TOEIC Newsletter No.105 June 2009 3 4 TOEIC Newsletter No.105 June 2009 TOEIC Newsletter No.105 June 2009 5 6 TOEIC Newsletter No.105

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

MIDI_IO.book

MIDI_IO.book MIDI I/O t Copyright This guide is copyrighted 2002 by Digidesign, a division of Avid Technology, Inc. (hereafter Digidesign ), with all rights reserved. Under copyright laws, this guide may not be duplicated

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

展開とプロビジョニングの概念

展開とプロビジョニングの概念 ADOBE CREATIVE SUITE 5 2010 Adobe Systems Incorporated and its licensors. All rights reserved. Adobe Creative Suite Deployment and Provisioning Concepts This guide is licensed for use under the terms of

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

光インタフェースによる銅配線技術の限界の克服

光インタフェースによる銅配線技術の限界の克服 光インタフェースによる銅配線技術の限界の克服 WP-01161-1.0 ホワイト ペーパー このホワイト ペーパーでは FPGA に搭載された光インタフェース技術が距離 消費電力 ポート密度 コスト 回路基板の複雑化といった ディスクリート銅配線接続に伴う問題をどのように克服するのかについて解説します チップ対チップ チップ対モジュール ラック対ラック システム対システムといったさまざまなインタフェースのデータ

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

2

2 8 23 32A950S 30 38 43 52 2 3 23 40 10 33 33 11 52 4 52 7 28 26 7 8 8 18 5 6 7 9 8 17 7 7 7 38 10 12 9 23 22 22 8 53 8 8 8 8 1 2 3 17 11 52 52 19 23 29 71 29 41 55 22 22 22 22 22 55 8 18 31 9 9 54 71 44

More information

AutoCAD WS Mobile アプリケーション

AutoCAD WS Mobile アプリケーション AutoCAD WS アップデート オートデスク株式会社 伊勢崎俊明 AutoCAD WS アップデート ~2011 年 4 月 20 日 ~ Android 対応 AutoCAD WS Mobile 外部ストレージサービスとの接続 PDF DWF ファイルへのパブリッシュ Android 対応 AutoCAD WS Mobile アプリケーション 動作環境 :Android 2.1 以上 デバイス

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

PowerPoint Presentation

PowerPoint Presentation 第 18 回 Autodesk Moldflow ライブヘルプ What s New Autodesk Moldflow 2018 オートデスク株式会社 プロダクトサポート Autodesk Moldflow ライブヘルプ Web 会議システムによる 気軽に参加いただく 1 時間のサポートセッション 目的 多くのユーザ様を直接ヘルプできる 直接フィードバックを頂くことができる (Q&A セッション

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

6 50G5S 3 34 47 56 63 http://toshibadirect.jp/room048/ 74 8 9 3 4 5 6 3446 4755 566 76373 7 37 3 8 8 3 3 74 74 79 8 30 75 0 0 4 4 0 7 63 50 50 3 3 6 3 5 4 4 47 7 48 48 48 48 7 36 48 48 3 36 37 6 3 3 37

More information

6 3 34 50G5 47 56 63 74 8 9 3 4 5 6 3446 4755 566 76373 7 37 3 8 8 3 3 74 74 79 8 30 75 0 0 4 4 0 7 63 50 50 3 3 6 3 5 4 4 47 7 48 48 48 48 7 36 48 48 3 36 37 6 3 3 37 9 00 5 45 3 4 5 5 80 8 8 74 60 39

More information

2 3 12 13 6 7

2 3 12 13 6 7 2 8 17 42ZH700046ZH700052ZH7000 28 43 54 63 74 89 2 3 12 13 6 7 3 4 11 21 34 63 65 8 17 4 11 4 55 12 12 10 77 56 12 43 43 13 30 43 43 43 43 10 45 14 25 9 23 74 23 19 24 43 8 26 8 9 9 4 8 30 42 82 18 43

More information

6 4 45 7ZS 5 59 7 8 94 05 4 5 6 4 5 5 6 8 8 40 45 48 56 60 64 66 66 68 7 78 80 8 7 8 0 0 0 90 0 57 64 69 66 66 69 0 4 4 4 4 4 0 7 48 5 4 4 5 4 4 4 7 46 46 6 46 8 46 48 46 46 4 46 46 4 4 5 4 6 4 9 9 0

More information

H8000操作編

H8000操作編 8 26 35 32H800037H800042H8000 49 55 60 72 2 3 4 48 7 72 32 28 7 8 9 5 7 9 22 43 20 8 8 8 8 73 8 13 7 7 7 55 10 49 49 13 37 49 49 49 49 49 49 12 50 11 76 8 24 26 24 24 6 1 2 3 18 42 72 72 20 26 32 80 34

More information

FC741E2_091201

FC741E2_091201 T101-1587-04 1 2 2 0 0 9 2 0 0 8 0 9 0 1 0 5 0 9 1 4 0 5 1 0 5 5 1 2 3 4 4 5 6 7 8 9 1 2 3 0 3 3 0 2 1 1 5 0 1 3 3 3 0 2 0 3 0 3 4 0 9 1 1 0 9 0 9 1 1 5

More information

360_h1_4.ai

360_h1_4.ai 2008 EA Digital Illusions CE AB. Mirror's Edge and the DICE logo are trademarks or registered trademarks of EA Digital Illusions CE AB. All Rights Reserved. EA and the EA logo are trademarks or registered

More information

6 4 4 9RERE6RE 5 5 6 7 8 9 4 5 6 4 4 5 6 8 4 46 5 7 54 58 60 6 69 7 8 0 9 9 79 0 4 0 0 4 4 60 6 9 4 6 46 5 4 4 5 4 4 7 44 44 6 44 8 44 46 44 44 4 44 0 4 4 5 4 8 6 0 4 0 4 4 5 45 4 5 50 4 58 60 57 54

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

6 4 45 ZS7ZS4ZS 5 59 7 8 94 05 4 5 6 4 5 5 6 8 8 40 45 48 56 60 64 66 66 68 7 78 80 8 7 8 0 0 0 90 0 0 4 4 4 4 6 57 64 69 66 66 66 69 4 0 7 48 5 4 4 5 4 4 4 7 46 46 6 46 8 46 48 46 46 4 46 46 4 4 5 4

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

2

2 8 24 32C800037C800042C8000 32 40 45 54 2 3 24 40 10 11 54 4 7 54 30 26 7 9 8 5 6 7 9 8 18 7 7 7 40 10 13 12 24 22 22 8 55 8 8 8 8 1 2 3 18 11 54 54 19 24 30 69 31 40 57 23 23 22 23 22 57 8 9 30 12 12 56

More information

2 3 12 13 6 7

2 3 12 13 6 7 02 08 22AV55026AV550 17 25 32 22AV550 26AV550 39 50 2 3 12 13 6 7 3 4 11 8 8 9 9 8 9 23 8 9 17 4 11 4 33 12 12 11 24 18 12 10 21 39 21 4 18 18 45 45 11 5 6 7 76 39 32 12 14 18 8 1 2 32 55 1 2 32 12 54

More information

Report Template

Report Template 日本語マニュアル 第 11 章 フロアプランニングと リソース配置指定 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

PowerPoint Presentation

PowerPoint Presentation Up & Ready シリーズ August 2016 シングルユーザーサブスクリプションガイドサブスクリプション注文後 ~ソフトウェア起動までの流れ Shihori Sakurai Customer Service & Support シングルユーザーサブスクリプションガイドコンテンツ P.3-P.6 P.7-P.14 P.15-P.24 P.25-P.34 シングルユーザーサブスクリプション基本情報

More information

5 7 3AS40AS 33 38 45 54 3 4 5 4 9 9 34 5 5 38 6 8 5 8 39 8 78 0 9 0 4 3 6 4 8 3 4 5 9 5 6 44 5 38 55 4 4 4 4 5 33 3 3 43 6 6 5 6 7 3 6 0 8 3 34 37 /78903 4 0 0 4 04 6 06 8 08 /7 AM 9:3 5 05 7 07 AM 9

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information