MP_slide_ja

Size: px
Start display at page:

Download "MP_slide_ja"

Transcription

1 Microprocessor(Lecture 1) Hiroshi Higashi 1

2 Introduction 情報 知能 学系学 実験サイト 実験の説明資料などは Web 上で公開中 実験レポートの受け取りはメールにて う レポートは 本語でも英語でも可 質問がある場合は F2( 総研棟 )-73-1 を訪問するか, で回答します. 2

3 程 (see p. 26) Week 1 Week 2 Week 3 Lecture 1: イントロダクション Problem 3.1: 加算 Problem 3.3 (1): 単 の出 Lecture 2: Basic Programming Problem 3.2: 乗算 Lecture 4: Applied programming Problem 3.3 (2): メロディの出 第 2 回以降は予習 ( プログラムの準備 ) が必須 3

4 今 やること 導 KUC-CHIP2 の基本的な使い Problem 3.1 ADD と ADC を実 しながら,ACC, PC, FLAG などの値を記録する. Problem 3.3 (1) クロック周波数を記録する できるだけ 44 Hz に近い単 を出 する 次の課題の説明 4

5 Relationships between a computer and a user User Input どのような仕組みで動いているのか? Output Computer 5

6 Hardware Input devices Output deivices Storage Processing Unit 6

7 Software Input devices Output deivices Application program System program Storage Processing Unit 7

8 Question 処理装置 (CPU) はプログラム ( ソフトウェア ) をどのように解釈しているのか? 級 語によるプログラム 機械語によるプログラム 8

9 ( 今のところの ) 回答 コンパイラ, アセンブラと呼ばれるプログラムを変換するプログラムを使う assemble compile 級 語によるプログラム アセンブリ 語によるプログラム 機械語によるプログラム 9

10 機械語とは何か? CPU が直接理解し実 できる 語のこと プログラムは と 1 の列から構成される CPU ごとに異なる assemble compile 級 語によるプログラム アセンブリ 語によるプログラム 機械語によるプログラム 1

11 級 語とは何か? 間が使いやすいプログラミング 語 C,C++,Java,Perl など CPU ごとに異ならない ( 共通 ) assemble compile 級 語によるプログラム アセンブリ 語によるプログラム 機械語によるプログラム 11

12 アセンブリ 語とは何か? 機械語を 間にわかりやすくした 語 命令が機械語と ( ほぼ ) 1 対 1 に対応 CPU ごとに異なる assemble compile 級 語によるプログラム アセンブリ 語によるプログラム 機械語によるプログラム 12

13 コンパイルとは何か? 級 語によるプログラムをアセンブリ 語によるプログラムに ( または機械語によるプログラムに ) 翻訳すること assemble compile 級 語によるプログラム アセンブリ 語によるプログラム 機械語によるプログラム 13

14 アセンブルとは何か? アセンブリ 語によるプログラムを機械語によるプログラムに翻訳すること assemble compile 級 語によるプログラム アセンブリ 語によるプログラム 機械語によるプログラム 14

15 この実験の流れ 1. アセンブリ 語によるプログラミング 2. 作成したプログラムのアセンブル ( 作業 ) 3. 実 ( 動作の理解 ) assemble アセンブリ 語によるプログラム 機械語によるプログラム 15

16 Device used in this theme KUE-CHIP2 教育 の 8 ビットマイクロプロセッサ = CPU 8 bits = 1 byte h 16 進数であることを す他にも 13H,x13 など 16

17 Structure of KUE-CHIP2 (p.22 Fig. 1) 17

18 KUE-CHIP2: bus バス : 部分と CPU 内部を結ぶ 出 バス : 出 部分と CPU 内部を結ぶ 18

19 KUE-CHIP2: ALU 演算ユニット (Arithmetic and Logic Unit) 算術演算, 論理演算, アドレスの計算を う 19

20 KUE-CHIP2: ACC アキュムレータ (accumulator) 演算に利 するレジスタ.8 ビット 演算対象, 演算結果を保持 2

21 KUE-CHIP2: IX インデックスレジスタ (index register) 演算に利 するレジスタ.8 ビット 演算対象, 演算結果を保持 修飾アドレス指定のときのアドレス修飾にも使 21

22 KUE-CHIP2: FLAG Flag register 演算 シフト結果により変化.4 ビット CF VF NF ZF 桁上がりフラグ桁あふれフラグ負フラグ p.22 Fig. 2 ゼロフラグ 22

23 KUE-CHIP2: PC プログラムカウンタ (program counter) 次に実 する命令のメモリ上での アドレスを保持.8 ビット 23

24 KUE-CHIP2: MAR メモリアドレスレジスタ メモリ操作の対象とするアドレスを保持. 8 ビット 24

25 KUE-CHIP2: Internal memory ( 内部メモリ ) 512 バイト. バイト単位の番地指定 プログラム領域 : 255 番地 データ領域 :256 番地 511 番地 511 1FF ~ データ領域 ~ FF プログラム領域 111 p.23 Fig. 3 25

26 KUE-CHIP2 のアセンブリ 語 命令の種類 :p.24 表 1 を参照 語仕様 : p 付録 A を参照 機械語フォーマット :1 バイトか 2 バイト (p.23 図 4 を参照 ) 26

27 Example (p.3, List 2) address data command operands : LD ACC, 1h 2: OUT 3: RLL ACC 4: 11 1 BA 2h 機械語によるプログラム アセンブリ 語によるプログラム Assemble 27

28 Example (p.3, List 2) address data command operands : LD ACC, 1h 2: OUT 3: RLL ACC 4: 11 1 BA 2h 1 という値を ACC に格納する h は 16 進数 (hexadecimal) を表す 28

29 Example (p.3, List 2) address data command operands : LD ACC, 1h 2: OUT 3: RLL ACC 4: 11 1 BA 2h ACC の内容を出 バッファ (OBUF) に出 する 29

30 Example (p.3, List 2) address data command operands : LD ACC, 1h 2: OUT 3: RLL ACC 4: 11 1 BA 2h ACC の内容を論理左回転し,ACC に入れる 1 1 3

31 Example (p.3, List 2) address data command operands : LD ACC, 1h 2: OUT 3: RLL ACC 4: 11 1 BA 2h 常に 2 番地へ戻る 31

32 How to assemble (1/4) Command table (p.37, Table 8) Assembly LD ACC,1h Rsm 1 A 1 s m Rotate sm LD 1 1 A B LoaD ST A B STore SBC 1 A B SuB with Carry 32

33 How to assembly (1/4) Command table (p.37, Table 8) Assembly LD ACC,1h コード中で命令語の直後に置かれている値 A = :ACC A = 1:IX A B B = :ACC B = 1:IX B = 1-:Immediate ( 即値 ) B = 1:Direct ( 直接 )(P) B = 11:Direct(D) B = 11:Indexed ( 修飾 )(P) B = 111:Indexed(D) 33

34 How to assembly (1/4) Command table (p.37, Table 8) Assembly LD ACC,1h

35 How to assembly (2/4) Command table (p.37, Table 8) Assembly OUT OUT OUTput IN INput RCF Reset CF 35

36 How to assembly (3/4) Command table (p.37, Table 8) Assembly RLL ACC Rsm 1 A 1 s m Rotate sm LD 1 1 A B LoaD ST A B STore SBC 1 A B SuB with Carry 36

37 How to assembly (3/4) Command table (p.37, Table 8) Assembly RLL ACC A A = :ACC A = 1:IX 37

38 How to assembly (3/4) Command table (p.37, Table 8) Assembly RLL ACC s m RA Right Arithmetically LA 1 Left Arithmetically RL 1 Right Logically LL 1 1 Left Logically 38

39 How to assembly (4/4) Command table (p.37, Table 8) Assembly BA 2h RCF Reset CF SCF Set CF Bcc 1 1 c c Branch cc Ssm 1 A s m Shift sm 39

40 How to assembly (4/4) Command table (p.37, Table 8) Assembly BA 2h c c A Always VF 1 on overflow NZ 1 on Not Zero Z 1 1 on Zero 4

41 Example (p.3, List 2) address data command operands : LD ACC, 1h 2: OUT 3: RLL ACC 4: 11 1 BA 2h - は do not care を表す. か 1 で置き換える ( どちらでもよい ) 41

42 Example (p.3, List 2) address : data : 1 command LD operands ACC, 1h 2: 1 OUT 3: 4: : 1 RLL BA ACC 2h Finish to assemble 42

43 今 やること 導 KUC-CHIP2 の基本的な使い Problem 3.1 ADD と ADC を実 しながら,ACC, PC, FLAG などの値を記録する. Problem 3.3 (1) クロック周波数を記録する できるだけ 44 Hz に近い単 を出 する 次の課題の説明 43

44 Execution of programs 第 2.5 節に沿って う (p.26 32) 注意点 : 電源器とボードを接続してからコンセントに繋ぐこと コンセントは机に固定されたものに繋ぐこと ( 転落防 ) 電源スイッチ横のコンデンサに指をかけないこと プログラムの実 前に RESET を押すこと 全員確認できたら次の説明へ 44

45 操作 法の補 SS スイッチで実 さらに SS スイッチを押すと停, 再開 CLKFRQ のダイヤルを回すと実 速度が変化 RESET SEL スイッチを操作して ACC を表 SI スイッチでステップ実 (1 命令ずつ ) SEL スイッチを操作して PC を表 SI スイッチでステップ実 (1 命令ずつ ) 45

46 今 やること 導 KUC-CHIP2 の基本的な使い Problem 3.1 ADD と ADC を実 しながら,ACC, PC, FLAG などの値を記録する. Problem 3.3 (1) クロック周波数を記録する できるだけ 44 Hz に近い単 を出 する 次の課題の説明 46

47 命令はどのように実 されるか? クロックに沿って実 クロック 1 周期分 1 つの実 フェーズ KUE-CHIP2 の各命令は 3 から 5 フェーズ P,P1: 各命令で共通 P2 以降 : 各命令で異なる p.25, Table 2 47

48 Example for trace of the execution (p.26, List 1) address data label command operands D1: EQU 8h D2: EQU 81h ANS: EQU 82h : 64 8 LD ACC,[D1] 2: B4 81 ADD ACC,[D2] 4: ST ACC,[ANS] 6: F HLT 8: 3 81: FD END D1 を たら 8h だと思う ( 変数宣, 初期化のようなもの ) 48

49 Example for trace of the execution (p.26, List 1) address data label command operands D1: EQU 8h D2: EQU 81h ANS: EQU 82h : 64 8 LD ACC,[D1] 2: B4 81 ADD ACC,[D2] 4: ST ACC,[ANS] 6: F HLT 8: 3 81: FD END メモリのプログラム領域 D1 番地の内容を ACC に格納する 49

50 Example for trace of the execution (p.26, List 1) address data label command operands D1: EQU 8h D2: EQU 81h ANS: EQU 82h : 64 8 LD ACC,[D1] 2: B4 81 ADD ACC,[D2] 4: ST ACC,[ANS] 6: F HLT 8: 3 81: FD END メモリのプログラム領域 D2 番地の内容と ACC の内容を加算する 5

51 Example for trace of the execution (p.26, List 1) address data label command operands D1: EQU 8h D2: EQU 81h ANS: EQU 82h : 64 8 LD ACC,[D1] 2: B4 81 ADD ACC,[D2] 4: ST ACC,[ANS] 6: F HLT 8: 3 81: FD END メモリのプログラム領域 ANS 番地に ACC の内容を格納する 51

52 Example for trace of the execution (p.26, List 1) address data label command operands D1: EQU 8h D2: EQU 81h ANS: EQU 82h : 64 8 LD ACC,[D1] 2: B4 81 ADD ACC,[D2] 4: ST ACC,[ANS] 6: F HLT 8: 3 81: FD END プログラムの実 を停 する 52

53 Example for trace of the execution (p.26, List 1) address data label command operands D1: EQU 8h D2: EQU 81h ANS: EQU 82h : 64 8 LD ACC,[D1] 2: B4 81 ADD ACC,[D2] 4: ST ACC,[ANS] 6: F HLT 8: 3 81: FD END メモリ 8 番地の内容を 3 とし, 81 番地の内容を FD(-3) とする 53

54 Example for trace of the execution (p.26, List 1) address data label command operands D1: EQU 8h D2: EQU 81h ANS: EQU 82h : 64 8 LD ACC,[D1] 2: B4 81 ADD ACC,[D2] 4: ST ACC,[ANS] 6: F HLT END 8: 3 81: FD アセンブル結果の 16 進表 54

55 Trace of the execution LD ACC,[D1] A B p.25 Table 2 B によって実 の 順が変わる P P1 P2 P3 P4 LD ACC IX d [d] (d) (PC) MAR PC++ (Mem) IR (A) B (PC) MAR PC++ (Mem) A (Mem) MAR (Mem) A 55

56 Trace of the execution LD ACC,[D1] : 64 1: 8 2: B4... 8: 3 81: FD 56

57 Trace of the execution LD ACC,[D1] P: (PC) MAR, PC++ : 64 1: 8 2: B4... 8: 3 81: FD 57

58 Trace of the execution LD ACC,[D1] P: (PC) MAR, PC++ 1 : 64 1: 8 2: B4... 8: 3 81: FD 58

59 Trace of the execution LD ACC,[D1] P1: (Mem) IR 1 : 64 1: 8 2: B4... 8: 3 81: FD 59

60 Trace of the execution LD ACC,[D1] P1: (Mem) IR 64 1 : 64 1: 8 2: B4... 8: 3 81: FD 6

61 Trace of the execution LD ACC,[D1] P2: (PC) MAR, PC : 64 1: 8 2: B4... 8: 3 81: FD 61

62 Trace of the execution LD ACC,[D1] P2: (PC) MAR, PC : 64 1: 8 2: B4... 8: 3 81: FD 62

63 Trace of the execution LD ACC,[D1] P3: (Mem) MAR : 64 1: 8 2: B4... 8: 3 81: FD 63

64 Trace of the execution LD ACC,[D1] P3: (Mem) MAR : 64 1: 8 2: B4... 8: 3 81: FD 64

65 Trace of the execution LD ACC,[D1] P4: (Mem) A : 64 1: 8 2: B4... 8: 3 81: FD 65

66 Trace of the execution LD ACC,[D1] P4: (Mem) A : 64 1: 8 2: B4... 8: 3 81: FD 66

67 Flag register Carry Flag, CF ( 桁上がりフラグ ) 演算結果に桁上がりが じると CF = 1. Overflow Flag, VF ( 桁あふれフラグ ) 演算結果に桁あふれが じると VF = 1. Negative Flag, NF ( 負フラグ ) 演算結果が負になると NF = 1 Zero Flag, ZF ( ゼロフラグ ) 演算結果がゼロになると ZF = 1. p.22 Fig. 2 67

68 Problem 3.1 (p.33) (1) 実 開始から実 終了まで, 観測可能なレジスタ, バスをトレース (2)--(6) ADD 開始前から ADD 終了後まで, フラグレジスタのみをトレース ADD 命令を ADC 命令に変更して,ADC 開始前から ADC 終了後まで, フラグレジスタのみをトレース それぞれの加算結果も確認 記録すること 68

69 Problem 3.1: Caution 1/2 16 進数 64,2 進数では? 8 番地に値を れるには, まず MAR を操作 毎回, まず計算結果を確認 ( 記録 ) すること 6 と b の読み間違いに注意 69

70 Problem 3.1: Caution 2/2 負の数は 2 の補数表現

71 Points for report (1) 各命令の各フェーズでの動作についてテキスト p を参考に図などを使いながら 章で説明すること レポート作成補助 : 図や資料のデータを配布中 (2)--(6) 各フラグがどのような時に変化するのか,ADD 命令と ADC 命令の違いもまとめること 71

72 今 やること 導 KUC-CHIP2 の基本的な使い Problem 3.1 ADD と ADC を実 しながら,ACC, PC, FLAG などの値を記録する. Problem 3.3 (1) クロック周波数を記録する できるだけ 44 Hz に近い単 を出 する 次の課題の説明 72

73 DAC に関する注意 使 する DA コンバータはとても壊れやすいので, 事に扱うこと ( むやみに触らない ) 特に, 取り付け部分周辺の配線に注意 取り付け & 取り外しは教員 TA が います 73

74 繋ぎ Connect the DAC to the oscilloscope; channel 1 Red channel 2 Blue ground Black ダイヤルCLKFRQを 1 にして実 74

75 Digital to analogue value 出 バッファに DA コンバータを付けて出 信号をオシロスコープへ DA コンバータ (DAC): ディジタル信号をアナログ信号に変換する回路 111 a DA converter 13, 1 の 4 桁の信号 16 段階の値 75

76 Output a melody Output waves from KUE-CHIP2 to generate a sound from a speaker. KUE-CHIP2 から波を出 し, スピーカから を出す 今 : を出す仕組みの基礎を学び, 単 を出 する 3 周 : メロディー出 プログラムの実 76

77 What is sound? は空気の振動 ( 波 ) の三要素 きさ : 波の振幅の きさ さ : 波の周波数の さ : 波の形 スピーカ : 電気信号を ( 空気振動 ) に変換する装置 77

78 Waves to generate Rectangular wave Wave period ( 周期 ) T (s) On Ta (s) Tb (s) Off T = Ta + Tb 78

79 Wave generation (p.39, List 4) Address label instruction operand # of phases : L: LD ACC, FFh 4 2: OUT 4 3: LD ACC, a 4 5: L1: SUB ACC, 1h 4 7: BNZ L1 4 9: LD ACC, h 4 B: OUT 4 C: LD ACC, b 4 E: L2: SUB ACC, 1h 4 1: BNZ L2 4 12: BA L 4 分で決める 分で決める 79

80 Wave generation (p.39, List 4) Address label instruction operand # of phases : L: LD ACC, FFh 4 2: OUT 4 3: LD ACC, a 4 5: L1: SUB ACC, 1h 4 波の On 部を作る 7: BNZ L1 4 9: LD ACC, h 4 B: OUT 4 C: LD ACC, b 4 E: L2: SUB ACC, 1h 4 波の Off 部を作る 1: BNZ L2 4 12: BA L 4 8

81 Waves to generate Rectangular wave Wave period T (s) On Ta (s) Tb (s) T = Ta + Tb In the list 4, Ta = (12+8a)T,Tb = (16+8b)T (where T = time for 1 clock) Off 81

82 Problem 3.3 (1) p.33 (a) オシロスコープでクロック周期を確認 スイッチ CLK を中 に ダイヤル CLKFRQ の 8 の周波数を測定 信号は JP3( 右列の上から 2 番 ) より出 (b) リスト 4 の a, b を設定する 出 する の周波数 :44 Hz ラ 最適な T,a,b を計算によって定める T = Ta + Tb, T = 1/44 (s) Ta = (12+8a)T,Tb = (16+8b)T 82

83 Problem 3.3 (1) p.33 (c) 44 Hz の の出 リスト 4 の CLKFRQ の設定 DA コンバータを通して, オシロスコープで周波数を確認 出 が 44 Hz ( 誤差 ±1%) であることを 計算によって確認 83

84 Notes for your report for (3) メロディの出 (a) 誤差 ±1% の確認 どのように最適な T, a, b を計算したか? 計算過程を記述すること どのように確認を ったか? 実際に誤差を計算すること 他の精度確認 法は考えられるか 84

85 Notes for your report for (3) メロディ出 (b) 精度をより上げるための対策 KUE-CHIP2 だけで対処する場合 ( ソフトウェア上, プログラム上の 夫 ) その他の機器を KUE-CHIP2 に接続する場合 ( ハードウェア上の 夫 ) メロディー出 の基本的なアルゴリズムはそのまま出 周波数を 44 Hz に近づける 法 85

86 今 やること 導 KUC-CHIP2 の基本的な使い Problem 3.1 ADD と ADC を実 しながら,ACC, PC, FLAG などの値を記録する. Problem 3.3 (1) クロック周波数を記録する できるだけ 44 Hz に近い単 を出 する 次の課題の説明 86

87 Next class: Problem 3.2: Multiplication 符号なし 2 バイト精度の 2 数の積 データを格納する番地はテキスト通りでなくても良い 演算結果は 2 バイトに収まると仮定 Preparations Prepare and assemble a program 81h 83h 85h 8h 82h 84h 87

88 補 : 符号無し 2 バイトの乗算 Most significant bit (MSB) 1 1 Least significant bit (LSB) byte = 8 bits メモリ上での順序に注意 88

89 補 : アドレスモード オペランド ( 引数 ) の表現 法のこと KUE-CHIP2 のアドレスモード (p 参照 ) ACC,IX:ACC,IX の内容がデータ 即値 : オペランドそのものがデータ 直接 : オペランドがメモリのアドレス. そのアドレス上の内容がデータ 修飾 : オペランド +IX の内容 がメモリのアドレス. そのアドレス上の内容がデータ 89

90 補 : 命令について (p.24) ADD: 加算命令.CF を考慮しない ADC: 加算命令.CF を考慮する SUB と SBC も同様の関係. RCF: CF をリセットする 9

91 Notes 必ずプログラムを準備してくること! まずはフローチャートを作成すること 授業開始時 ( 中 ) に問題がないか確認する プログラムとフローチャートは別の紙に 遅刻や準備不 に対する救済は わない できなかった分は減点, 最悪の場合は不受理 91

92 フローチャートの例 1 バイトの乗算 A Initialization C B C End decision B =? Y End HLT プログラムの流れを 然 語で図 する N Add A to C C + A C Sub 1 from B B - 1 B 92

93 Example of addition with 2 byte precision level A1 A2 Addition RCF + B1 B2 C1 C2 CF Carry over CF A2 + C2 + C2 A1 + C1 + CF C1 End HLT LD ADC ST LD ADC ST HLT ACC, [A2] ACC, [B2] ACC, [C2] ACC, [A1] ACC, [B1] ACC, [C1] 93

94 Notes for making programs 他 が て分かるように書くこと 必ず紙に 書き or 印刷してくること 紙の両 を使わない アセンブリ 語と機械語は横に揃える 修正 のスペースも 意しておく 機械語は 2 進 16 進のどちらでも良いが,16 進数なら確認しやすい 94

95 アセンブリ 語と機械語は横に揃える : 2 RCF 1: 64 8 LD ACC, [A2] 3: ADC ACC, [B2] 5: ST ACC, [C2] 7: LD ACC, [A1] 9: ADC ACC, [B1] B: ST ACC, [C1] D: 8 HLT address 95

96 よくある間違い 81h 8h 2バイトのデータの取扱い 上位 下位バイトの番地の誤り 初期化のし忘れ SUM += A 繰り上げの失敗 (ADD, ADC, RCF) 終了判定の誤り LD ではZeroFlagは たない データの保存 (ST) のし忘れ 85h 84h アドレスが16 進数ではなく1 進数になっている 83h 82h 96

97 エミュレータを使った準備 A KUE-CHIP2 Emulator tml A KUE-CHIP2 web assembler webasm/ 97

98 今 やること 導 KUC-CHIP2 の基本的な使い Problem 3.1 ADD と ADC を実 しながら,ACC, PC, FLAG などの値を記録する. Problem 3.3 (1) クロック周波数を記録する できるだけ 44 Hz に近い単 を出 する 次の課題の説明 98

99 Microprocessors (Lecture 2) 99

100 Lecture 2 Problem 3.2 乗算プログラムの作成 符号なし 2 バイト精度の 2 数の積 データを格納する番地はテキスト通りでなくても良い 演算結果は 2 バイトに収まると仮定 必須の予習 : プログラムの作成とアセンブル 1

101 よくある間違い 81h 8h 2バイトのデータの取扱い 上位 下位バイトの番地の誤り 初期化のし忘れ SUM += A 繰り上げの失敗 (ADD, ADC, RCF) 終了判定の誤り LD ではZeroFlagは たない データの保存 (ST) のし忘れ 85h 84h アドレスが16 進数ではなく1 進数になっている ミス, アセンブルの誤り 83h 82h 11

102 Procedure 各 の作成したプログラムを 中にフローチャートをチェック ホワイトボードの (1) (4) で動作確認 それらが正しく計算できたら (A) と (B) を計算. 実 時間を計測 (1 Hz で ) ホワイトボードに実 時間とプログラムのメモリ消費量 ( 単位 : バイト ) を記 メモリ消費量 = プログラム部分 + データ格納部分 12

103 実 時間の理論値 分のプログラムについて実 時間の理論値を求め, 実測値と 較せよ 順 1) 実 時間を決めるパラメータを特定 各命令のフェーズ数 (p.18 表 2) 1 フェーズ = 1 クロック周期 クロック周波数 = 1 Hz 順 2) 実 時間を求める計算式を導出 順 3) 式から (A),(B) の実 時間理論値を算出 順 4) 理論値と実測値の 較 13

104 Notes for your report 使 したプログラムのリストを載せ, フローチャートを いて説明せよ 他の ( 最低 2 ) のプログラムと 較 論点 1: 実 時間 ( 実測値で可 ) 論点 2: プログラムのメモリ消費量 注意 : 他の のプログラムは掲載不要だが, 簡単な説明は記述すること 14

105 For the next lecture Problem 3.4 (2) Output a melody 必須の予習 : プログラムの作成とアセンブル 参考 : Appendix B.2 and list 5 (p.41) 楽譜データを 意するだけではダメ List 5 のプログラムに改造が必要 時間内に完成しなかった場合は打ち切りデバッグのサポートはできるが, プログラムが無い場合はサポートできない 15

106 Notes メロディーの出 は無限に繰り返すこと 最も 周波 低周波な でも可聴領域を超えない p.4 表 13 階の周波数 を参考に 1 オクターブ い 周波数が 2 倍 リスト 5 に改造が必要な部分 休符 はどうすれば実現できるか 符と休符を判別し, 別処理が必要 同じ が続くと 1 つの い に聞こえる と の間に空 が必要 16

107 Generation of a melody (list 5) Program region : 62 LD ACC, dptr1 2: 75 1A ST ACC, (dptr) 4: 65 1A L: LD ACC, (dptr) 6: 68 LD IX, ACC 7: B2 3 ADD ACC, x3 9: 75 1A ST ACC, (dptr) B: A2 18 SUB ACC, dptr2 D: BNZ L1 F: 62 LD ACC, dptr1 11: 75 1A ST ACC, (dptr) 13: 67 2 L1: LD ACC, (IX+2) 15: 75 1C ST ACC, (n3) Data region 1: n1 n2 n3 dptr1: C 13: n1 n2 n3 D 16: n1 n2 n3 の先頭 E 19: n1 n2 n3 F 1C: n1 n2 n3 G 1F: n1 n2 n3 A 112: n1 n2 n3 B 115: n1 n2 n3 C 118: dptr2: (not used) 119: or ff image Output 11A:?? の終わり dptr 11B:?? n2 11C:?? n3 どの を鳴らすか (3 ずつ増える ), 1A, 1C, 18はデータ領域のアドレスを指している ( 分のプログラムに合わせて設定 ) n1は の さ,n2 n3は さ (2 重ループ ) 実 時に使 17

108 Microprocessors (Lecture 3) 18

109 Problem 3.3 (2) Output a melody 簡単なメロディーを出 させる 必須の予習 : プログラムの作成とアセンブル Reference: Appendix B.2 and list 5 (p.41) DA コンバータの取扱いに注意 19

110 Notes for your report (4)(c) 各 のデータ表現の特徴をプログラムを載せて説明せよ 例えば, 楽譜 は 間に理解しやすいようにメロディーを表現している どのような表現なら理解しやすいのか 11

111 Notes for your report (4)(d) 作成したメロディー出 法は他の CPU にも流 できるか? 他の CPU の例を 1 つ挙げて考察 挙げた CPU の実 命令フェーズを調べ, それを踏まえて考察 111

112 Notes for your report (5) ) 分が最も使 している CPU ( または, 有名な CPU) について, そのアーキテクチャを調べてまとめる レジスタ, 命令セット, メモリ空間の特徴 乗算命令がどのように実 されているか 任意の課題 ( 必須ではない ) やらなくても良いが, この課題は加点対象 112

113 Summary 4G = = = 2 32 計算機の仕組みについて理解 例えば, なぜ 32bit の OS では 4G 以上のメモリが使えないのか? プログラムの作り やデバッグの練習 assemble compile 級 語によるプログラム アセンブリ 語によるプログラム 機械語によるプログラム 113

114 Report submission 1/3 指導書 p.6 をよく読むこと PDF ファイルをメール で提出 表紙は 作のものでも構わない 実験 法について, 指導書を丸写しする必要はない この資料の 検討事項のポイント を参考に 必ず 点検票をチェック ( 提出は不要 ) 114

115 Report submission 2/3 提出〆切は 1 週間後の 23:59 ( 時間厳守 ) 病気等の例外を除き, 〆切の延 はしない 受理されたものへの改善 修正は可 ( 週間以内 ) 未完成のもの ( 途中までしかないもの ) は不受理 115

116 Report submission 3/3 メールの件名 : [report] [student ID] [your name] [ レポート ]-B 豊橋太郎 レポートは PDF に変換 添付ファイル名は : [Your school register number]-[your name].pdf. B 豊橋太郎.pdf 3 たっても確認メールが届かない場合は, F2( 総研棟 )-73-1 へ 分からないことがあれば何でも質問すること 116

PowerPoint Presentation

PowerPoint Presentation マイクロプロセッサ ( 第 1 回 ) P. Ravindra S De Silva ravi@tut.jp 1 はじめに 情報 知能工学系学生実験サイト http://www.cs.tut.ac.jp/jikken/ 実験の説明資料などはWeb 上で公開中 http://www.icd.cs.tut.ac.jp/~ravi/mp/index.html 受け取りは メールにて行う 宛先は desilva.teaching@gmail.com

More information

Microsoft PowerPoint - H2.ppt [互換モード]

Microsoft PowerPoint - H2.ppt [互換モード] 力装置はじめに マイクロプロセッサ ( 第 回 ) 担当 : 佐竹純二 情報 知能工学系学生実験サイト http://www.cs.tut.ac.jp/jikken/ 実験の説明資料などは Web 上で公開中 http://www.aisl.cs.tut.ac.jp/~satake/class/ 実験レポートの受け取りはMoodle 上で行う https://moodle.imc.tut.ac.jp/course/view.php?id=285

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft PowerPoint - ProcML-12-3.ppt

Microsoft PowerPoint - ProcML-12-3.ppt プロセッサと 年次前次前期 ( 第 回 ) 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow か? () + + () + + 答 答 中島克人 情報メディア学科 nakajima@im.dendai.ac.jp () - = + + 答 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 7 週命令セットアーキテクチャ ( 命令の表現 命令の実行の仕組 ) 2013 年 11 月 6 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 ここでは機械命令レベルプログラミングを学びます 機械命令の形式は学びましたね機械命令を並べたプログラムを作ります 2 その前に プログラミング言語について 4 プログラミング言語について 高級言語 (Java とか C とか ) と機械命令レベルの言語 ( アセンブリ言語 ) があります 5 プログラミング言語について

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

スライド 1

スライド 1 3. 演算命令を学ぼう 本稿の Web ページ http://www.mybook-pub-site.sakura.ne.jp/pic/index.html 1 ; ADD このソースファイルを各自打ち込んで下さい. EQU 0x0C ; at 0C 足し算を実行するプログラムの例です. MOVLW B 00000001 ; Load 0x01 to W ADDLW B'00000011' ; W

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 計算機基礎第 7 回 ノイマン型計算機 (2) 1 スタックの練習問題 逆ポーランド表記 ( 後置記法 : postfix notation) に変換してみよ 1+2*3+4 1 2 3 * + 4 + (1+2)*3+4 1 2 + 3 * 4 + 1+2*(3+4) 下の 3 番目と同じ 中置記法 (infix notation) に変換してみよ 1 2 + 3 * 4 + (1 + 2) *

More information

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 1 コンピュータの構造 1.1 パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

スライド 1

スライド 1 4. 演算命令 ( つづき ) ( 足し算の桁上がり,Rotate, etc.) を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 本章では足し算の桁上がり情報の格納場所の確認をするプログラムを学びます. PIC16F マイコンではデータは 8 ビットで表されています.

More information

主記憶の使われ方 システム領域 SP スタックポインタ システム用 スタック用 プログラム起動時に OS によって確 保される (SP が決められる ) プログラム用 メインルーチン プログラム領域 命令コードの列定数 変数用領域サブルーチン命令コードの列 先頭番地は リンク時に OS によって決め

主記憶の使われ方 システム領域 SP スタックポインタ システム用 スタック用 プログラム起動時に OS によって確 保される (SP が決められる ) プログラム用 メインルーチン プログラム領域 命令コードの列定数 変数用領域サブルーチン命令コードの列 先頭番地は リンク時に OS によって決め Copyright 守屋悦朗 2005 コンピュータの仕組み (2) ソフトウェア 3.3 アセンブラプログラミング (CASLⅡ) 情報処理技術者試験基本情報技術者試験 (http://www.jitec.jp/index.html) では 仮想コンピュータ (16ビットのワードマシン 主記憶容量 64KW)COMETⅡを定義し COMETⅡ のためのアセンブリ言語 CASLⅡを定めている COMETⅡとCASLⅡの仕様は情報処理技術者試験センターのウェブサイト

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 4 月 26 日ハードウエア設計論 :3 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 種々の記述 ~ ALU の実装とタイミングに関して always @(A or B or C) Ubuntu を起動し verilog が実行できる状態にしておいてください 79 演習 4: 簡単な演算器 1 入力 A:8 ビット 入力 B:8 ビット 出力 O:8

More information

Microsoft PowerPoint - NxLec-2010-11-01.ppt

Microsoft PowerPoint - NxLec-2010-11-01.ppt 2010 年 後 学 期 レポート 問 題 計 算 機 アーキテクチャ 第 二 (O) 4. シングルサイクルプロセッサの 実 装 とパイプライン 処 理 大 学 院 情 報 理 工 学 研 究 科 計 算 工 学 専 攻 吉 瀬 謙 二 kise _at_ cs.titech.ac.jp S321 講 義 室 月 曜 日 5,6 時 限 13:20-14:50 1 1. 1から100までの 加 算

More information

コンピュータの仕組み(1)ハードウェア

コンピュータの仕組み(1)ハードウェア Copyright 守屋悦朗 2005 コンピュータの仕組み (1) ハードウェア 2.1 CPU の基本原理 2 つの整数の和を出力するプログラムを考えよう main() { int a, b, c; /* 変数 a,b が整数値をとる変数であることを宣言する */ a = 1; /* a に 1 を代入する */ b = 2; /* b に 2 を代入する */ c = a+b; /* a と

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

UNIX 初級講習会 (第一日目)

UNIX 初級講習会 (第一日目) 情報処理概論 工学部物質科学工学科応用化学コース機能物質化学クラス 第 3 回 2005 年 4 月 28 日 計算機に関する基礎知識 Fortranプログラムの基本構造 文字や数値を画面に表示する コンパイル時のエラーへの対処 ハードウェアとソフトウェア ハードウェア 計算, 記憶等を行う機械 ソフトウェア ハードウェアに対する命令 データ ソフトウェア ( 命令 ) がないとハードウェアは動かない

More information

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 コンピュータの構造 1. パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置 ):

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A

1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A 2 2010 11 8 0 9 5 7 0 7 B 2010 11 15 095701B 095703J 1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP2 3 3.1 (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A 2.11 1 3.2 (2) () () 3.2.1 (a) 1. 1 1: () 00 C0

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB)

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB) コンピュータ工学講義プリント (12 月 11 日 ) 今回は ローテイト命令を用いて 前回よりも高度な LED の制御を行う 光が流れるプログラム 片道バージョン( 教科書 P.119 参照 ) 0.5 秒ごとに 教科書 P.119 の図 5.23 の様に LED の点灯パターンが変化するプログラムを作成する事を考える この様にすれば 光っている点が 徐々に右に動いているように見え 右端まで移動したら

More information

ex05_2012.pptx

ex05_2012.pptx 2012 年度計算機システム演習第 5 回 2012.05.25 高水準言語 (C 言語 ) アセンブリ言語 (MIPS) 機械語 (MIPS) コンパイラ アセンブラ 今日の内容 サブルーチンの実装 Outline } ジャンプ 分岐命令 } j, jr, jal } レジスタ衝突 回避 } caller-save } callee-save 分岐命令 ( 復習 ) } j label } Jump

More information

1.1 ラベル ラベルはカラム 1 から始まらなければならない ラベルの後にはコロン スペース タブ 改行が続いてよい ラベルはアルファベットかアンダーバーで始まり 英数字 アンダーバー クエスチョンマークを含んでよい ラベルは 32 文字までである デフォルトではこれらは大文字と小文字を区別するが

1.1 ラベル ラベルはカラム 1 から始まらなければならない ラベルの後にはコロン スペース タブ 改行が続いてよい ラベルはアルファベットかアンダーバーで始まり 英数字 アンダーバー クエスチョンマークを含んでよい ラベルは 32 文字までである デフォルトではこれらは大文字と小文字を区別するが MPASM MPASM は Microchip Tecnology Inc. 社の開発した PIC のためのアセンブリ言語である ここでは MPASM の文法と使用法などについて記述する 1. 文法 ソースコードファイルは ASCII テキストファイルエディターを使って作成する そのように作られたソースコードは以下に示す基本的ガイドラインに従うべきである ソースファイルの各行は次の 4 つのタイプの情報を含んでよい

More information

Microsoft PowerPoint - NxLecture ppt [互換モード]

Microsoft PowerPoint - NxLecture ppt [互換モード] 011-05-19 011 年前学期 TOKYO TECH 命令処理のための基本的な 5 つのステップ 計算機アーキテクチャ第一 (E) 5. プロセッサの動作原理と議論 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W61 講義室木曜日 13:0-1:50 IF(Instruction Fetch) メモリから命令をフェッチする. ID(Instruction Decode)

More information

ガイダンス 2

ガイダンス 2 データ構造とアルゴリズム (a) 科目区分 : 専門科目電子物性工学コース ( 自由選択 ) 電気通信システム工学コース ( 自由選択 ) 時間割番号 :G2209 ソフトウェア工学 旧課程 科目区分 : 専門科目電子物性 エネルギー工学コース ( 選択 ) システム制御 通信工学コース ( 限選 ) 時間割番号 :33310 ( 第 1 週 ) ガイダンス ソフトウェアの基本概念とプログラミング言語

More information

コンピュータ中級B ~Javaプログラミング~ 第3回 コンピュータと情報をやりとりするには?

コンピュータ中級B ~Javaプログラミング~  第3回 コンピュータと情報をやりとりするには? Copyright (C) Junko Shirogane, Tokyo Woman's Christian University 2012, All rights reserved. 1 コンピュータ サイエンス 2 第 7 回ソフトウェア 人間科学科コミュニケーション専攻 白銀純子 Copyright (C) Junko Shirogane, Tokyo Woman's Christian University

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

6. パイプライン制御

6. パイプライン制御 6. パイプライン制御 パイプライン (Pipelining) 命令のスループットをあげて性能を向上する Program eection order Time (in instrctions) lw $, ($) fetch 2 4 6 8 2 4 6 8 Data access lw $2, 2($) 8 ns fetch Data access lw $3, 3($) Program eection

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 5. メモリ管理 (2) 概要ページ管理 式ページ置換アルゴリズム 28/5/23 メモリ管理 (2) 1 ページング ( 復習 ) 仮想アドレス空間, 主記憶 ( 実アドレス空間 ) を固定サイズのページに分割 仮想アドレス空間のページを主記憶 ( メモリ ) のページに対応させる ページテーブル ( 変換表 ) を実メモリ上に保持 ページを単位としたアドレス変換 ( 仮想ページ番号, オフセット

More information

CASL入門

CASL入門 4 章 機械語の設計 ここでは 機械語の設計をしてみましょう 機械語の設計! そんなことができるのでしょうか 情報処理技術者試験の CASLⅡ 説明書の参考資料には 命令後の構成は定義しないが と記載されています アセンブラ言語を理解するためには機械語の理解が非常に大切になりますし 自分で設計してみれば格段に理解が容易になります そこで 定義されていないなら 定義してしまおう というわけです CASLⅡが動くコンピュータである

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 11 週 条件分岐文と繰り返し文のコード生成 2014 年 6 月 18 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

1 はじめに このアプリケーションは 計算機ハードウェア論 のアセンブリ言語 ( 超簡単命令セット ) の理解を助けるために製作されました 便宜的に機能を追加 削除した箇所があるため このアプリケーション上での動き方が実際のCPUでの動き方と異なる場合があることに留意してください このアプリケーショ

1 はじめに このアプリケーションは 計算機ハードウェア論 のアセンブリ言語 ( 超簡単命令セット ) の理解を助けるために製作されました 便宜的に機能を追加 削除した箇所があるため このアプリケーション上での動き方が実際のCPUでの動き方と異なる場合があることに留意してください このアプリケーショ アセンブリ言語 ( 超簡単命令セット版 ) 取扱説明書 平成 26 年 2 月改訂版 目次 1 はじめに 2 1.1 利用できるデータの範囲やアドレス空間 命令長についての注意 2 2 プログラムの書き方と実行の方法 3 2.1 このマニュアルでの表記について 3 2.2 入力上の注意 3 2.2.1 使用できる文字について 3 2.2.2 ラベルの付け方 3 2.3 命令長の書き方 4 2.3.1

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 18 回ハザードとその解決法 2014 年 10 月 17 日 電気情報工学科 田島孝治 1 授業スケジュール ( 後期 ) 2 回 日付 タイトル 17 10/7 パイプライン処理 18 10/17 ハザードの解決法 19 10/21 並列処理 20 11/11 マルチプロセッサ 21 11/18 入出力装置の分類と特徴 22 11/25 割り込み 23 12/2 ネットワークアーキテクチャ

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン (2), コード生成 http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1204.pdf ( 訂正版 ) 1 概要 仮想マシン 概要 ( 復習 ) 制御命令 出力命令 コード生成 式のコード生成 文 文の列のコード生成 記号表 2 演習で作るコンパイラの例 test.hcc Int main() { int i j; i = 3;

More information

言語プロセッサ2005

言語プロセッサ2005 url: kameken.clique.jp/lectures/lectures2014/compiler2014/ 言語プロセッサ 2014 Language Processors 2014 平成 26 年 9 月 22 日 ( 月 ) 東京工科大学コンピュータサイエンス学部亀田弘之 まずはイントロから なぜ言語プロセッサを学ぶのか? (Why do we study a course 言語プロセッサ?)

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 1 前回教育用の RISC POCO を導入しました 今日はその Verilog 記述を紹介します まず この復習をやっておきましょう 2 最も重要な点は メモリの読み書きで レジスタ間接指定の理解です これはポインタと一緒なので 間違えないように修得してください 3 RISC なので 基本の演算はレジスタ同士でしかできません MV はレジスタ間のデータ移動なので気をつけてください 4 イミーディエイト命令は

More information

目次 1. はじめに 1 2. マルチALUプロセッサ MAP MAP の構成 MAP 命令セットアーキテクチャ 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 次 Booth アルゴリズム 次 Bo

目次 1. はじめに 1 2. マルチALUプロセッサ MAP MAP の構成 MAP 命令セットアーキテクチャ 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 次 Booth アルゴリズム 次 Bo 目次 1. はじめに 1 2. マルチALUプロセッサ MAP 2 2.1 MAP の構成 2 2.2 MAP 命令セットアーキテクチャ 3 2.3 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 7 3.1 1 次 Booth アルゴリズム 7 3.2 2 次 Booth アルゴリズム 8 3.3 3 次 Booth アルゴリズム 10 4. シミュレーションによる並列化の評価

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

Microsoft Word - マイコン実験 doc

Microsoft Word - マイコン実験 doc Ⅲ-2. マイクロコンピュータの実験 - 1 1. 目的 (1) 基本的なマイクロコンピュ-タの構成を学ぶとともに 各部の機能を理解する (2) マイクロコンピュ-タの機械語プログラムの理解を深める 2. マイクロコンピュ-タの動作図 1は 8ビットマイクロプロセッサ Z80 を用いたマイクロコンピュ-タシステムのブロック図である 以下に このマイクロコンピュ-タを例にとり 各部の動作を説明する 記憶部

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc DWR-S01D Updater 取扱説明書 発行日 :2012/5/14 目次 概要...3 機能...3 準備するもの...3 本ソフトウェアについて...3 インストール手順...4 USBドライバーのインストール手順...8 デバイスマネージャーからのUSBドライバーのインストール手順...11 アップデート手順...16 アップデート後の確認...17 アップデートに失敗した場合...17

More information

Microsoft PowerPoint - Chap2 [Compatibility Mode]

Microsoft PowerPoint - Chap2 [Compatibility Mode] 計算機構成論 (Chap. 2) @C http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch22/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見 ご要望

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 命令と命令表現 ( 教科書 3.1 節 ~3.4 節 ) プロセッサの命令と命令セット 命令 : プロセッサへの指示 ( プロセッサが実行可能な処理 ) 加算命令 減算命令 論理演算命令 分岐命令 命令セット : プロセッサが実行可能な命令の集合 ( プログラマから見えるプロセッサの論理仕様 ) プロセッサ A 加算命令分岐命令 プロセッサ B 加算命令減算命令 命令セットに含まれない命令は直接実行できない!

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] // システムプログラム概論 メモリ管理 () 今日の講義概要 ページ管理方式 ページ置換アルゴリズム 第 5 講 : 平成 年 月 日 ( 月 ) 限 S 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/ // 第 5 講メモリ管理 () ページング ( 復習

More information

スライド 1

スライド 1 2. 転送命令を学ぼう 2004 年 8 月に本講義ノートを Web にアップして以来, とても多くの方の訪問を受けてきました. 内容が一部古くなっていたので,2012 年 5 月時点の情報に書き改めました. 主な変更点は以下の通りです. 第 0 章に本講座の準備のための章を設け, 以下の更新をしました. 1. プログラム開発環境 (MPLAB IDE) を v8.84 に更新しました. 2012

More information

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n を入力してもらい その後 1 から n までの全ての整数の合計 sum を計算し 最後にその sum

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 6 週演算アーキテクチャ ( 続き ) ノイマン型コンピュータ 命令とは 命令の使い方 2013 年 10 月 30 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2

More information

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 目次 概要概要...3 機能機能...3 準備準備するものするもの...3 本ソフトウェアソフトウェアについてについて...3 インストールインストール手順手順...4 USB ドライバーのインストールインストール手順手順...8 デバイスマネージャーデバイスマネージャーからの USB ドライバーのインストールインストール手順手順...11

More information

プログラミングI第10回

プログラミングI第10回 プログラミング 1 第 10 回 構造体 (3) 応用 リスト操作 この資料にあるサンプルプログラムは /home/course/prog1/public_html/2007/hw/lec/sources/ 下に置いてありますから 各自自分のディレクトリにコピーして コンパイル 実行してみてください Prog1 2007 Lec 101 Programming1 Group 19992007 データ構造

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

第 1 回 C 言語講座 1. コンピュータって? だいたいは 演算装置 制御装置 記憶装置 入出力装置から構成されている 演算装置 CPU の一部で実際に計算を行う装置 制御装置 CPU の一部で演算装置や入出力装置 記憶装置の読み書きなどを制御する装置 記憶装置プログラムや情報 データを一時的

第 1 回 C 言語講座 1. コンピュータって? だいたいは 演算装置 制御装置 記憶装置 入出力装置から構成されている 演算装置 CPU の一部で実際に計算を行う装置 制御装置 CPU の一部で演算装置や入出力装置 記憶装置の読み書きなどを制御する装置 記憶装置プログラムや情報 データを一時的 第 1 回 C 言語講座 1. コンピュータって? だいたいは 演算装置 制御装置 記憶装置 入出力装置から構成されている 演算装置 CPU の一部で実際に計算を行う装置 制御装置 CPU の一部で演算装置や入出力装置 記憶装置の読み書きなどを制御する装置 記憶装置プログラムや情報 データを一時的 あるいは半永久的に保存する装置 CPU が直接読み書きできる主記憶装置 ( メモリ ) と データの保管などに使われる補助記憶装置

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2017 年 5 月 15 日 東邦大学金岡晃 前回の復習 (1) このプログラムを作成し実行してください 1 前回の復習 (2) このプログラムを作成し実行してください 2 前回の復習 (3) 3 前回の復習 演算子 代入演算子 インクリメント シフト演算子 型変換 4 場合に応じた処理 5 こういうプログラムを作りたい 5 教科のテスト

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

Microsoft PowerPoint - Sol7 [Compatibility Mode]

Microsoft PowerPoint - Sol7 [Compatibility Mode] ミニクイズ 4 E ハザード ( つ前の命令の結果を必要とする状況 ) が発生する条件を つ挙げよ. また それぞれの時に 制御線 ForwardA, ForwardB はどのように設定すれば良いか? ( 回答 ) E/.RegWrite= かつ E/.RegisterRd = ID/.RegisterRs この時,ForwardA = と制御すれば良い. E/.RegWrite= かつ E/.RegisterRd

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2019 年 5 月 13 日 東邦大学金岡晃 場合に応じた処理 1 こういうプログラムを作りたい 5 教科のテスト 100 点以上各科目の点数の合計が 100 点未満 おめでとう! これで 100 点越えのプレゼントを獲得! というメッセージを出力 残念!100 点越えのプレゼントまであと ** 点! というメッセージを出力 5 教科の点数の合計が

More information

スライド 1

スライド 1 6.LED( 発光ダイオード ) の制御を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 5V R 4 SW 1 R 3 R 2 SW 2 SW 3 PIC16F84A 1 RA2 RA1 18 2 RA3 RA0 17 3 RA4 OSC1 16 4 MCLR OSC2

More information

RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用

RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用 RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用体型のローカル変数を文字列操作関数で操作する場合の注意事項 (RXC#013) 配列型構造体または共用体の配列型メンバから読み出した値を動的初期化に用いる場合の注意事項

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

Microsoft PowerPoint - Chap4 [Compatibility Mode]

Microsoft PowerPoint - Chap4 [Compatibility Mode] 計算機構成論 (Chap. ) @C01 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch2012/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 6 回目 if 文と if else 文 今日の講義で学ぶ内容 関係演算子 if 文と if~else 文 if 文の入れ子 関係演算子 関係演算子 ==,!=, >, >=,

More information

一般電気工学第二

一般電気工学第二 1 電子情報工学基礎 第 1 回 コンピュータの歴史と アーキテクチャの基礎 2 コンピュータとは Compute: 計算する Computer: 電子計算機 ( 元々は計算をする人を指す ) 紀元前 3000 年頃古代バビロニアで数字が誕生, 十進法が定められる. 3 計算する機械 算盤,Abacus 1617 年にネピアによる対数の理論, 計算尺の原型の発明 歯車式のパスカリーヌ計算機 ライプニッツの横型ドラム方式の回転計算機

More information

PowerPoint Presentation

PowerPoint Presentation 工学部 6 7 8 9 10 組 ( 奇数学籍番号 ) 担当 : 長谷川英之 情報処理演習 第 7 回 2010 年 11 月 18 日 1 今回のテーマ 1: ポインタ 変数に値を代入 = 記憶プログラムの記憶領域として使用されるものがメモリ ( パソコンの仕様書における 512 MB RAM などの記述はこのメモリの量 ) RAM は多数のコンデンサの集合体 : 電荷がたまっている (1)/ いない

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 4 回目演算子 今日の講義で学ぶ内容 演算子とオペランド 式 様々な演算子 代表的な演算子の使用例 演算子とオペランド 演算子 演算の種類です例えば + - * / 掛け算の記号は ではなく *( アスタリスク ) を使います割り算の記号は ではなく /( スラッシュ ) を使います オペランド 演算の対象です例えば 5( 値 ) num( 変数 ) 式 演算子とオペランドの組み合わせにより構成される数式です式は演算結果をもちます

More information

JEB Plugin 開発チュートリアル 第3回

JEB Plugin 開発チュートリアル 第3回 Japan Computer Emergency Response Team Coordination Center 電子署名者 : Japan Computer Emergency Response Team Coordination Center DN : c=jp, st=tokyo, l=chiyoda-ku, email=office@jpcert.or.jp, o=japan Computer

More information