ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』

Size: px
Start display at page:

Download "ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』"

Transcription

1 : Spartan-3 FPGA XAPP454 (v1.1.1) Spartan-3 FPGA DD2 SDAM : Karthikeyan Palanisamy Spartan -3 DD2 SDAM Micron DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD SDAM DD2 SDAM DD SDAM DD2 SDAM SSTL 1.8V I/O DD2 SDAM DD SDAM ( ) (DQS) DQS DD2 SDAM DQS DD2 SDAM DD2 SDAM Xilinx, Inc. All rights reserved. Xilinx : Xilinx Xilinx Xilinx XAPP454 (v1.1.1) japan.xilinx.com 1

2 Spartan-3 FPGA DD2 SDAM User Interface Implementation Layer Infrastructure Data Path Control Physical Layer xapp549_02_ : DD2 SDAM 2 Spartan-3 DD2 SDAM 4 ddr2_top user_clk Infrastructure user_data Data Path IOBS DD2_IF Command & Address Controller xapp549_03_ : DD2 SDAM CAS Load Mode EM(2) EM(3) DD2 SDAM 2 japan.xilinx.com XAPP454 (v1.1.1)

3 Spartan-3 FPGA DD2 SDAM FPGA XAPP768c Spartan MHz 333 Mb/s DD SDAM FPGA DD2 SDAM DD2 SDAM FPGA FPGA (DCM) XAPP768c IOBS FPGA IOBS IOBS XAPP454 (v1.1.1) japan.xilinx.com 3

4 Spartan-3 FPGA DD2 SDAM 1 DD2 SDAM 1 : dip1 DD2 SDAM ( Low) rst_dqs_div_in DD2 SDAM dqs_div flop reset_in user_input_data[(2n-1):0] DD2 SDAM n user_input_address[addwidth:0] DD2 SDAM user_bank_address[bankaddwidth:0] DD2 SDAM user_config_reg1[14:0] DD2 SDAM 1 user_config_reg2[12:0] DD2 SDAM 2 user_command_reg[3:0] DD2 SDAM burst_done Done rst_dqs_div_out rst_dqs_div_in dqs_div flop user_output_data[(2n-1):0] DD2 SDAM user_data_valid DD2 SDAM ( Low) user_cmd_ack user_command user_odt_ack ODT init_val DD2 SDAM ar_done DD2 SDAM auto-refresh clk_int DD2 SDAM clk90_int DD2 SDAM 90 sys_rst sys_rst90 90 sys_rst sys_rst : 1. DD2 SDAM 4 japan.xilinx.com XAPP454 (v1.1.1)

5 Spartan-3 FPGA DD2 SDAM user_input_data[(2n-1):0] DD2 SDAM DD2 SDAM n DD2 SDAM DD2 SDAM user_input_address[addwidth:0] DD2 SDAM user_input_address user_bank_address[bankaddwidth:0] DD2 SDAM user_config_reg1[14:0] DD2 SDAM Load Mode user_config_reg PD W TM es Cas_latency BT Burst_length Burst_length[2:0] 4 BT Cas_latency [6:4] [6:4] CAS DD2 SDAM 3 4 CAS es [9:7] [9:7] TM Load Mode TM W [13:11] Load Mode W (Write ecovery) PD Load Mode PD (Power Down Mode) Load Mode Micron DD2 SDAM XAPP454 (v1.1.1) japan.xilinx.com 5

6 Spartan-3 FPGA DD2 SDAM user_config_reg2[12:0] Extended Mode DD2 SDAM user_config_reg OUT DQS DQS OCD Posted CAS TT ODS es Extended Mode Micron DD2 SDAM user_command_reg[3:0] DD2 SDAM 2 2 : user_command_reg[3:0] (DD2 SDAM) (Load Mode ) 0110 burst_done 2 DD2 SDAM DD2 SDAM user_output_data[(2n-1):0] DD2 SDAM DD2 SDAM DD2 SDAM DD SDAM SD 2n n DD2 SDAM user_data_valid user_output_data[(2n-1):0] user_cmd_ack DD2 SDAM DD2 SDAM init_val DD2 SDAM DD2 SDAM 6 japan.xilinx.com XAPP454 (v1.1.1)

7 Spartan-3 FPGA DD2 SDAM ar_done DD2 SDAM DD2 SDAM 1 : 3 3 : ddr_dq[(datawidth 1):0] DD2 SDAM ddr_dqs[(dqswidth-1):0] DD2 SDAM ddr_cke DD2 SDAM ddr_csb Low ddr_rasb Low ddr_casb Low ddr_web Low ddr_dm ddr_ba ddr_address ( ) ddr2_clk* ddr_odt[4:0] DD2 SDAM DD2 SDAM DD2 SDAM Mode egister Extended Mode user_config_reg1 [14:0] user_config_reg2 [12:0] DD2 SDAM DD2 SDAM init_val 3 sys_clk sys_clkb user_config_reg1(14:0) user_config_reg2(12:0) user_command_reg init_val 1 2 Init 3 Configuration Data Configuration Data 4 Cmd xapp549_09_ : DD2 SDAM XAPP454 (v1.1.1) japan.xilinx.com 7

8 Spartan-3 FPGA DD2 SDAM 1. command_reg [2:0] 2 user_config_reg1[14:0] user_config_reg2[12:0] 2. command_reg [2:0] 3. user_config_reg1[14:0] user_config_reg2[12:0] 4. init_val DD2 SDAM 4 4 DD2 SDAM 2 DD2 SDAM 1 DD2 SDAM SYS_CLKb user_cmd_ack user_cmd_ack 2.5 user_input_address[addwidth:0] user_input_address SYS_CLK clk90_int_val user_input_address 2 4 user_input_data[(2n-1):0] 2 DD2 SDAM burst_done SYS_CLK 2 burst_done 2 DD2 SDAM user_cmd_ack sys_clk sys_clkb clk90_int_val 1 user_command_reg[3:0] Write Command user_cmd_ack clks 4 user_input_address[21:0] Addr 1 Addr 2 3 user_input_data[(2n-1):0] Data 1 Data 2 Data 3 Data 4 burst_done 5 xapp549_05_ : 4 DD2 SDAM 1. DD2 SDAM SYS_CLK 2. DD2 SDAM SYS_CLKb user_cmd_ack 8 japan.xilinx.com XAPP454 (v1.1.1)

9 Spartan-3 FPGA DD2 SDAM 3. user_input_address user_input_data clk90_int_val signal 4. user_cmd_ack 2.5 user_input address [21:0] user_input_address SYS_CLK 5. last user_input_address 2 burst_done 6. DD2 SDAM user_cmd_ack DD2 SDAM DD2 SDAM SYS_CLK DD2 SDAM SYS_CLKb user_cmd_ack user_cmd_ack 2.5 user_input_address[addwdth:0] user_input_address SYS_CLK DD2 SDAM clk90_int_val user_output_data user_output_data user_data_valid DD SDAM SD 2n n DD2 SDAM 4 DD2 SDAM 2 DD2 SDAM 2n burst_done SYS_CLK 2 burst_done DD2 SDAM user_cmd_ack sys_clk sys_clkb clk90_int_val 1 user_command_reg[3:0] ead Command user_cmd_ack clks 3 7 user_input_address[21:0] Address 1 Address 2 burst_done 6 4 user_valid_data 5 user_output_data[(2n-1):0] Data 1 Data 2 Data 3 Data 4 5 : 4 DD2 SDAM XAPP549_07_ XAPP454 (v1.1.1) japan.xilinx.com 9

10 Spartan-3 FPGA DD2 SDAM 1. SYS_CLK 2. DD2 SDAM SYS_CLKb user_cmd_ack 3. user_cmd_ack 2.5 user_input_address [21:0] user_input_address SYS_CLK 4. user_output_data user_data_valid 5. DD2 SDAM user_output_data user_output_data clk90_int_val DD SDAM SD 2n n DD2 SDAM 4 DD2 SDAM 2 6. burst_done SYS_CLK 2 burst_done 7. DD2 SDAM user_cmd_ack DD2 SDAM Auto_efresh DD2 SDAM auto_refresh auto_refresh SYS_CLK auto_refresh DD2 SDAM SYS_CLKb ar_done DD2 SDAM XAPP768c DD SDAM DD2 SDAM XAPP768c 4 : (ps) Tclock 6000 Tclock_phase 3000 Tdcd 250 Tdata_period 2750 Tclock_phase-Tdcd Tclock_skew Tpackage_skew Tsetup ( ) 10 japan.xilinx.com XAPP454 (v1.1.1)

11 Spartan-3 FPGA DD2 SDAM 4 : () (ps) Thold Tphase_offset_error DCM Tjitter DCM Total uncertainties Window ps 5 : (ps) Tclock 6000 Tclock_phase 3000 Tclock_duty_cycle_dist Tdata_period 2700 clock_phase-tdcd Tdqsq Tpackage_skew Tds Tdh Tjitter Tlocal_clock_line Tpcb_layout_skew Tqhs Spartan-3-5 Spartan-3-5 DQ Total uncertainties Window for DQS position for normal case ps : 1. Tdqsq Tqhs Micron MT47H64M4FT-37E ev C 05/04 EN 2. Spartan XAPP454 (v1.1.1) japan.xilinx.com 11

12 Spartan-3 FPGA DD2 SDAM 6 : (ps) Tclock 6000 Tclock_skew Tpackage_skew Tsetup Thold Tphase_offset_error Tduty_cycle_distortion Tjitter Total uncertainties Command window ps 12 japan.xilinx.com XAPP454 (v1.1.1)

13 Spartan-3 FPGA DD2 SDAM Spartan-3 DD2 SDAM COE Generator MIG (Memory Interface Generator) IP XAPP768 Spartan MHz 333 Mb/s DD SDAM Micron MT47H16M16FG-37E Spartan-3 FPGA DD2 SDAM (Synplicity ) Project Navigator 2004/12/ /06/ MIG 2007/06/ XAPP454 (v1.1.1) japan.xilinx.com 13

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

ハピタス のコピー.pages

ハピタス のコピー.pages Copyright (C) All Rights Reserved. 10 12,500 () ( ) ()() 1 : 2 : 3 : 2 4 : 5 : Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All

More information

Copyright 2008 All Rights Reserved 2

Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 1 Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 3 Copyright 2008 All Rights Reserved 4 Copyright 2008 All Rights Reserved 5 Copyright 2008 All

More information

2007 Indie s Movie Project. All Rights Reserved. 02

2007 Indie s Movie Project. All Rights Reserved. 02 2007 Indie s Movie Project. All Rights Reserved. 01 2007 Indie s Movie Project. All Rights Reserved. 02 2007 Indie s Movie Project. All Rights Reserved. 03 2007 Indie s Movie Project. All Rights Reserved.

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094100 Rev. A Seiko Epson Corporation 2015. All rights reserved. 2 3 4 5 6 Bluetooth 7 Bluetooth 8 1 9 Bluetooth 10 1 11 1 2 6 5 4 3 7 12 1 13 14 ONF 1 N O O N O N N N O F N N F N N N N N N F F O O

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094106 Rev. G Seiko Epson Corporation 2015-2018. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 ... 71 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F N

More information

Copyright 2008 NIFTY Corporation All rights reserved. 2

Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 3 Copyright 2008 NIFTY Corporation All rights reserved. 4 Copyright 2008 NIFTY Corporation

More information

Spartan3A Starter Kit による DDR2 SDRAM コントローラの実装

Spartan3A Starter Kit による DDR2 SDRAM コントローラの実装 Spartan3A Starter Kit による DDR2 SDRAM コントローラの 実 装 小 野 雅 晃 筑 波 大 学 システム 情 報 工 学 等 技 術 室 ( 装 置 開 発 班 ) 305-8573 茨 城 県 つくば 市 天 王 台 1-1-1 概 要 Spartan3A Starter Kit に 搭 載 されている FPGA (Field Programmable Gate

More information

1000 Copyright(C)2009 All Rights Reserved - 2 -

1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 1 - 1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 3 - 1000 Copyright(C)2009 All Rights Reserved - 4 - 1000 Copyright(C)2009

More information

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note XAPP721 (v2.1) 2007 年 10 月 12 日 アプリケーションノート : Virtex-4 FPGA ISERDES と OSERDES を使用した高性能 DDR2 SDRAM インターフェイスのデータキャプチャ著者 : Maria George 本資料は英語版 (v2.1) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください 概要

More information

1

1 Wireless LAN Access Point LD-WLS54AG/AP P1 P13 P17 P28 P53 P79 1 2 3 a a a a a a a a 4 5 7 2 6 1 POWER IN DATA IN P+DATA OUT Wireless LAN Access Point LD-WLS54AG/AP P1 P13 P17 P28 P53 P79 9 8 3 11 10 a

More information

untitled

untitled FPGA SATA AE/ AVNET, INC. : 1921 : 1955 / : 1960 NYSE - AVT ( Sector : Technology ) CEO: Roy Vallee ( : : : 11,000 : KPMG LLP : 6 30 Fortune 500 ( 2006 212 ) InformationWeek 500 ( 2004 3 ) Fortune Top50

More information

untitled

untitled http://www.riskdatabank.co.jp The of Japan, Ltd. All rights reserved. 2 The of Japan, Ltd. All rights reserved. 3 The of Japan, Ltd. All rights reserved. 4 The of Japan, Ltd. All rights reserved. 5 The

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

42

42 41 42 43 44 45 46 47 48 9,527 1,012 331 58 84 90 126 84 106 133 1,012 531 87 82 122 132 108 531 2,037 123 236 935 529 104 110 2,037 498 1,359 417 100 106 78 92 66 133 110 167 423 84 1,359 109 98 150 60

More information

初心者にもできるアメブロカスタマイズ新2016.pages

初心者にもできるアメブロカスタマイズ新2016.pages Copyright All Rights Reserved. 41 Copyright All Rights Reserved. 60 68 70 6 78 80 Copyright All Rights Reserved. FC2 97 Copyright All Rights Reserved. Copyright All Rights Reserved. Copyright All Rights

More information

- 2 Copyright (C) 2006. All Rights Reserved.

- 2 Copyright (C) 2006. All Rights Reserved. - 2 Copyright (C) 2006. All Rights Reserved. 2-3 Copyright (C) 2006. All Rights Reserved. 70-4 Copyright (C) 2006. All Rights Reserved. ...1...3...7...8 1...9...14...16 2...18...20...21 3...22...23...23...24

More information

(c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved.

(c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE, INC. All Rights Reserved. (c) 2013 TOYOTA DIGITAL CRUISE,

More information

j_xapp266.fm

j_xapp266.fm アプリケーションノート : Virtex-II シリーズ 合成可能な FCAM コントローラ XAPP266 (1.0) 2002 年 2 月 27 日 概要 このアプリケーションノートでは ダブルデータレート (DD) 高速サイクル AM (FCAM) コントローラをインプリメントし Virtex-II アーキテクチャに与える影響について説明します はじめに 一般的な DAM メモリは 共通のメモリコアとセルアレイで構成されています

More information

Copyright All Rights Reserved. -2 -!

Copyright All Rights Reserved. -2 -! http://ameblo.jp/admarketing/ Copyright All Rights Reserved. -2 -! Copyright All Rights Reserved. -3- Copyright All Rights Reserved. -4- Copyright All Rights Reserved. -5 - Copyright All Rights Reserved.

More information

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ アプリケーションノート : Virtex-4 ファミリ XAPP709 (v1.4) 2005 年 11 月 18 日 Virtex-4 FPGA デバイスを使用した DD SDAM コントローラ著者 : Olivier Despaux 概要 このアプリケーションノートでは Virtex -4 XC4VLX25 FF668-10CES デバイスを使用してインプリメントした 200MHz DD SDAM

More information

Copyright Qetic Inc. All Rights Reserved. 2

Copyright Qetic Inc. All Rights Reserved. 2 Copyright Qetic Inc. All Rights Reserved. 2 Copyright Qetic Inc. All Rights Reserved. 4 35% Copyright Qetic Inc. All Rights Reserved. 9 Copyright Qetic Inc. All Rights Reserved. 11 Copyright Qetic

More information

IPA:セキュアなインターネットサーバー構築に関する調査

IPA:セキュアなインターネットサーバー構築に関する調査 Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved. Copyright 2003 IPA, All Rights Reserved.

More information

Microsoft Word - 最終版 バックせどりismマニュアル .docx

Microsoft Word - 最終版 バックせどりismマニュアル .docx ism ISM ISM ISM ISM ISM ISM Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. Copyright (c) 2010 All Rights Reserved. ISM Copyright (c) 2010 All Rights Reserved. Copyright

More information

NF-6

NF-6 NF-5 NF-6 NF-7 LINE LOAD IN OUT LINE LOAD LINE LOAD NF-8 NF-9 NF-10 NF-11 NF-12 NF-13 NF-14 NF-15 LINE LOAD LINE LOAD NF-16 LINE LOAD LINE LOAD LINE LOAD LINE LOAD LOAD LINE LINE LOAD LINE LOAD LOAD LINE

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

release-270.PDF

release-270.PDF ORCA Project Copyright(C) 2006 Japan Medical Association. All rights reserved. Copyright(C) 2006 Japan Medical Association. All rights reserved. ORCA Project Copyright(C) 2006 Japan Medical Association.

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

% 11.1% +6.% 4, % %+12.2% 54,16 6.6% EV7, ,183 Copyright 216 JAPAN POST GROUP. All Rights Reserved. 1

% 11.1% +6.% 4, % %+12.2% 54,16 6.6% EV7, ,183 Copyright 216 JAPAN POST GROUP. All Rights Reserved. 1 216 3 216 5 13 848+4.4% 11.1% +6.% 4,853 495 +2.6% 1 +11.6%+12.2% 54,16 6.6% EV7,829 2 7,183 Copyright 216 JAPAN POST GROUP. All Rights Reserved. 1 15.3 16.3 16.3 11,692 96,57 5.5 % 4,926 4,115 16.5 %

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

untitled

untitled mitsuya Copyright (C) 2007. All Rights Reserved. 1/1 mitsuya Copyright (C) 2007. All Rights Reserved. 2/2 mitsuya Copyright (C) 2007. All Rights Reserved. 3/3 mitsuya Copyright (C) 2007. All Rights Reserved.

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

RM-IP10

RM-IP10 4-450-997-02(1) M-IP10 2012 Sony Corporation a b c 2 1 2 3 4 5 6 NEA VALUE BIGHT B FOCUS FA JOYSTICK LOCK KNOB MODE AUTO AUTO MANUAL ONE PUSH AF POSITION ESET PESET SHIFT GOUP SELECT POWE GOUP BLACK LIGHT

More information

健康保険組合のあゆみ_top

健康保険組合のあゆみ_top (1912) (1951) 2,00024,000 (1954) (1958) (1962) (1965) (1968) (1969) (1971) (1972) (1973) (1974) (1976) (1978) (1980) (1982) (1983) (1984) (1985) (1987) (1988) (1989) (1990) (1991) (1992) (1994) (1995)

More information

untitled

untitled 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 3_2. 24 25 26 27 28 29 30 31 32 33 CSV 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67

More information

Microsoft Word - ランチョンプレゼンテーション詳細.doc

Microsoft Word - ランチョンプレゼンテーション詳細.doc PS1-1-1 PS1-1-2 PS1-1-3 PS1-1-4 PS1-1-5 PS1-1-6 PS1-1-7 PS1-1-8 PS1-1-9 1 25 12:4514:18 25 12:4513:15 B PS1-1-10 PS1-2-1 PS1-2-2 PS1-2-3 PS1-2-4 PS1-2-5 PS1-2-6 25 13:1513:36 B PS1-2-7 PS1-3-1 PS1-3-2

More information

MSM514400E/EL

MSM514400E/EL 1 1,08,576-Word x -BiYNAMIC RAM : 2001 1 CMOS 1,08,576 2 CMOS 26/20 SOJ 26/20 TSOP L!"1,08,576!"5V 10%!" : TTL!" : TTL!" : 1,02 16ms 1,02 128ms L-!"!"CAS RAS RAS!"!" : 26/20 300mil SOJ (SOJ26/20-P-300-1.27)

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

(1) 2000 ( ) ( ) 1000 2000 1000 0 http://www.spacepark.city.koriyama.fukushima.jp/ http://www.miraikan.jst.go.jp/ http://www.nasda.go.jp/ 3000 1 1 http://www.city.nara.nara.jp/citizen/jyugsidu/jgy/jsj/

More information

untitled

untitled Copyright(C) 2007 IFNet, Inc. All Rights Reserved. 2 3 4 Step 1 tep 2 Step 3 Step 1 Step 2 Step 3 5 ID 6 7 Copyright(C) 2007 IFNet, Inc. All Rights Reserved. 8 9 10 11 Copyright(C) 2007 IFNet, Inc. All

More information

WJ-HD500B V8QA6060BN

WJ-HD500B V8QA6060BN WJ-HD500B Digital Disk Recorder WJ-HD500 500B 2 3 4 5 6 7 8 Digital Disk Recorder WJ-HD500 9 FS 16 q w e r t y u i o!0!1!2!3!4!5!6!7!8 10 !9 @0 @1 @2 @3 @4 @5 @6 @7 @8 @9 #0 11 SIGNAL GND 10/100BASE-T

More information

O1-1 O1-2 O1-3 O1-4 O3-1 O3-2 O3-3 O3-4 ES1-1 ES1-2 ES1-3 ES2-1 ES2-2 ES2-3 ES2-4 O2-1 O2-2 O2-3 O2-4 O2-5 O4-1 O4-2 O4-3 O4-4 O5-1 O5-2 O5-3 O5-4 O7-1 O7-2 O7-3 O7-4 O9-1 O9-2 O9-3 O9-4 O12-1 O12-2

More information

untitled

untitled 2004 1/95 2004 2/95 2004 3/95 2004 4/95 2004 5/95 2004 6/95 2004 7/95 2004 8/95 2004 9/95 2004 10/95 2004 11/95 2004 12/95 2004 13/95 2004 14/95 2004 15/95 2004 16/95 2004 17/95 2004 18/95 2004 19/95 2004

More information

CleanMyMac Copyright 2013 MacPaw Inc. All rights reserved.

CleanMyMac Copyright 2013 MacPaw Inc. All rights reserved. CleanMyMac 2 http://www.cleanmymac.jp/ 201335 2.0.0 Copyright 2013 MacPaw Inc. All rights reserved. 1. CleanMyMac... 4... 4... 4... 4 2.... 5... 5... 7... 9 3.... 10... 10... 13... 16 iphoto... 19... 23...

More information

消火まえがき.qxd

消火まえがき.qxd 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 1100 1200 1300 1400 1500 1600 1700 1800 1900 2000 2100 1032MHz 1489MHz 1895MHz 2150MHz 142 143 144 145 146 147

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

L358取説/表紙

L358取説/表紙 !4 1 2 3!3 4 5!2!1 POWER ISO 1 MODE AVE./ EV ISO 2 MEMORY 6 7!0 FLASH MASTER L-358 i @0 9!8!9!5 @1!6 @2!7 1 @3 1 2 3 @29 P ISO 1 MODE MEMORY FLASHMASTER L-358!7!6!9 4 !2 e 5 !2!1 5 ISO 1 6 5 ISO 2 6 !0!1

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Copyright 2008 KOZO KEIKAKU ENGINEERING Inc. All Rights Reserved. http://www.kke.co.jp Copyright 2008 KOZO KEIKAKU ENGINEERING Inc. All Rights Reserved. http://www.kke.co.jp 1 Copyright 2008 KOZO KEIKAKU

More information

ザイリンクス UG454 Spartan-3A DSP スタータ プラットフォーム ユーザー ガイド

ザイリンクス UG454 Spartan-3A DSP スタータ プラットフォーム ユーザー ガイド Spartan-3A DSP (v1.0) R 2007 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks are the property

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

XCM-025Z Series User's Manual v1.1

XCM-025Z Series User's Manual v1.1 Spartan-7 FGGA484 FPGA ボード XCM-025Z シリーズユーザーズマニュアル Ver.1.1 ヒューマンデータ 目次 はじめに... 1 ご注意... 1 改訂記録... 1 1. 共通ピンについて 重要... 2 2. 製品の内容について... 3 3. 開発環境... 3 4. 仕様... 4 5. 製品説明... 5 5.1. 各部名称... 5 5.2. ブロック図...

More information

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

弥生会計/やよいの青色申告

弥生会計/やよいの青色申告 c c c c c 1 c c c c c c c c c c c 2 3 c c 4 a a a a a a a a a

More information

DDR2 SDRAM をフレームバッファに使用した CMOS カメラ表示回路の実装

DDR2 SDRAM をフレームバッファに使用した CMOS カメラ表示回路の実装 DD2 SDAM をフレームバッファに使用した CMOS カメラ表示回路の実装 小野雅晃筑波大学システム情報工学等技術室 305-8573 茨城県つくば市天王台 1-1-1 概要 CMOS (Complementary Metal Oxide Semiconductor) カメラの撮影画像を XA (extended raphics Array) サイズのディスプレイに表示する回路を Xilinx

More information

EQUIUM EQUIUM S5010 1

EQUIUM EQUIUM S5010 1 EQUIUM EQUIUM S5010 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 4 1 2 5 1 2 6 1 7 1 2 3 4 5 6 7 1 2 3 4 5 6 3 7 8 9 1 2 3 4 4 5 6 7 8 1 1 2 3 4 10 1 11 12 1 13 14 1 15 16 1 1 2 3 17 1 2 3 18 4 5 6 1 19 1 2 3

More information

① Copyright 2005 Impex.,inc. All Rights Reserved 1 Copyright 2005 Impex.,inc. All Rights Reserved 2 Copyright 2005 Impex.,inc. All Rights Reserved 3 Copyright 2005 Impex.,inc. All Rights Reserved 4 Copyright

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

PLQ-20 取扱説明書 詳細編

PLQ-20 取扱説明書 詳細編 2013 Seiko Epson Corporation. All rights reserved. o n h o n n A B o C h h n h A B n C n n A B C A B C A B C D E A B C D E h o h B n C A D E F G n A C B n A B C D C n A B D F G H E n A B D C E F n A h

More information

ザイリンクス XAPP928, LVDS /DVI を使用するデジタル ディスプレイ パネル IP のリファレンス デザイン

ザイリンクス  XAPP928, LVDS /DVI を使用するデジタル ディスプレイ パネル IP のリファレンス デザイン : Spartan-3E FPGA XAPP928 (v1.1) 2007 4 19 LVDS/DVI IP Spartan-3E HW-SPA3E-DISP-DK-UNI-G (v1.1) LCD TV IP Spartan -3E FPGA 1 DVI (CTC) (PGC) (IDE) (LVDS TX) DVI TX Spartan-3E DIP IP DVI Interface Color

More information

MultiPASS Suite 3.20 使用説明書

MultiPASS Suite 3.20 使用説明書 TM MultiPASS Suite Ver.3.20 for Windows ii iii Copyright 2000 Canon Inc. ALL RIGHTS RESERVED iv v vi vii viii ix x 1 1 1-1 1 1 2 3 1-2 4 5 1 1-3 1 6 1-4 7 1 8 9 1-5 10 1 11 1-6 1 1-7 1 1-8 2 1 1-9 1 1

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

CONTENTS 1 All Rights Reserved Copyright(C) 2000-2003

CONTENTS 1 All Rights Reserved Copyright(C) 2000-2003 CONTENTS 1 All Rights Reserved Copyright(C) 2000-2003 2 All Rights Reserved Copyright(C) 2000-2003 All Rights Reserved Copyright(C) 2000-2003 3 case-1 case-2 4 All Rights Reserved Copyright(C) 2000-2003

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information