Microsoft PowerPoint - システムWS_matsu_140525_.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - システムWS_matsu_140525_.pptx"

Transcription

1 アナログ RF 回路設計技術 の発展に向けて 松澤昭 東京工業大学大学院理工学研究科

2 内容 RF 回路 ミリ波を用いた超高速データ伝送への挑戦 8Gbpsを達成した60GHz CMOSトランシーバ 300Gbpsを目指して ADC 性能推移 スケーラブルbit SAR ADC 時間領域処理を用いた7bit.GHz ADC PLL レイアウトドリブン設計とプログラマブルアナログ回路技術 新たな電気系の教育 04/5/6

3 アナログ RF 回路技術 アナログ RF 回路技術 : 広帯域, 高 SNR の回路を低電力で実現 低電力 ダイナミック回路 容量ベース 低電力ロス 通信容量 帯域 SNR(dB) C BW log シャノンの定理 P P S N 広帯域 低容量 微細トランジスタ 周波数補償 分布定数回路 低ノイズ 低ジッタ 低歪 非線形補償 高出力 高 SNDR

4 高速信号伝送と多値化および ADC 性能 3 伝送回路のデータレートは多値化数 分解能 N と帯域 BW の積に比例する高速化 高 SNDR 化が技術の進歩にとって本質的に重要 多値通信の場合 D rate N BW N: 多値化数 BW: 信号帯域 ADC の場合 D N rate f s N: 分解能 f s : 変換周波数 シャノンの定理 ADC の BW と SNR BW f s したがって C Nf s C BW log P P S N P S N P N ADC f s : 標本化周波数 N: 分解能.5 BER ) 多値変調の実現には高い SNR が必要 QPSK 6QAM 64QAM 56QAM SNR (db)

5 4 RF 回路 ミリ波を用いた 超高速データ伝送への挑戦

6 60GHz 帯の超高速信号伝送 5 伝送速度を上げるには信号帯域と多値数を上げることが基本になる 6QAM 信号 Q BPSK:.7 Gbps QPSK: 3.5 Gbps 6QAM: 7 Gbps 64QAM:0.5Gbps D rate N BW I 帯域約.8GHz, 4 チャンネル

7 8Gbps を実現した60GHzトランシーバ 6 ダイレクトコンバージョン方式 60GHz CMOS トランシーバ I Q *K. Okada, A. Matsuzawa., ISSCC 04 TX Output RX Input PA LNA RF amp. RF amp. I Mixer I Mixer Q Mixer Q Mixer LO buf. 60GHz QILO LO buf. BB amp. 0GHz PLL control logic 60GHz QILO 04/5/6 I Q

8 チップ写真 7 FUJITSU 65nm CMOS 4.mm TX BB in I MIXER LO BUF. TX out RX in PA LNA Q MIXER IMIXER & RF amp LO BUF. LO BUF. Q.OSC. Q.OSC. Logic PLL RX BB out QMIXER & RF amp TX: 86m WRX: 55mW PLL: 64mW CMOS 65nm, Al+Cu TX: 86mW RX: 55mW PLL: 64mW LO BUF. Area TX.03mm RX.5mm PLL 0.90mm Logic 0.67mm

9 送受信機の周波数特性 8 チャネルボンディングのために広帯域 (DC~4.3GHz) でフラットな周波数特性を実現 Gain [db] 送信機 Frequency [GHz] Gain [db] 受信機 Frequency [GHz]

10 得られた伝送特性 9 世界初の 64QAM を実現 チャネルボンドにより 8Gbps Channel/ Carrier freq. Modulation ch. 58.3GHz ch GHz 64QAM ch GHz ch GHz ch.-ch.4 Channel bond 6QAM Data rate* 0.56Gb/s 0.56Gb/s 0.56Gb/s 0.56Gb/s 8.6Gb/s Constellation** Spectrum** TX EVM** -7.dB -7.5dB -8.0dB -8.8dB -0.0dB TX-to-RX EVM*** -4.6dB -3.9dB -4.4dB -6.3dB -7.dB

11 世界初の 64QAM かつ最高速伝送 0 Data rate [Gb/s] Univ. of Toronto UCB NEC SiBeam, CEA-LETI Panasonic Toshiba Year 04/5/6 Tokyo Tech UCB IMEC Broadcom

12 60GHz 帯超高速データ伝送技術 D BW log 信号帯域を上げる 寄生素子の削減 寄生素子の中和 広帯域インピーダンスマッチング SNR を上げる 信号電力を上げる 電力ロスを減らす 熱雑音を減らす 歪を減らす 位相雑音を減らす P N PS P D j t N P P e s NT Q I

13 60GHz リンクバジェット (QPSK) 6dBm(P out )-4dB(back-off)=dBm Tx アンテナ利得 :6dBi -7.5dB(.5m loss)+6dbi(tx)+6dbi(rx) 所望 CNR: 9.8dB その他 劣化要因位相雑音, PA 非線形 I/Q ミスマッチ, ISI -3dB(loss) +6dB(NF) -80.6dBm =-74dBm(kT)+93.4dB(.GHz-BW) -60.5dBm CNR +4.0dB -74.6dBm Rx

14 フリスの伝達公式 3 高いキャリア周波数が超高速伝送を実現するとは限らない 距離 の 乗で受信電力が減少 キャリア周波数 の 乗で受信電力が減少 受信電力 送信電力送受アンテナ利得 ( キャリア周波数を固定すると ) アンテナ間距離 実効受信電力雑音指数 キャリア周波数 アンテナ間距離 送信電力 送受アンテナ利得 実装損失

15 Data rate (Gbps) 最大伝送レート ある周波数までは周波数を上げることで伝送レートが向上できるしかし, 周波数を上げても送信電力の増大がなければ伝送レートは伸びない SNR 限界では多値化の効果は飽和 6QAMが最大速度を与える 04/5/6 点線は SNR を考慮したとき実線は SNR を考慮しないとき 64QAM Pt=0dBm 6QAM Pt=0dBm Pt=0dBm キャリア周波数 (GHz) QPSK BPSK 距離 :m アンテナ利得 :6dBi NF: 6dB バックオフ : 4dB 電力ロス : 3dB 4

16 300Gbps 伝送に向けてのロードマップ 5 Data Rate [Gbps] 広帯域化 多値化 MIMO 56Gbps 4Gbps 8Gbps 38Gbps 7Gbps 0.5Gbps 6QAM 64QAM 04/5/

17 6 ADC ADC 開発への要望は依然として高い ADC に始まり ADC に終わるか?

18 ADC に始まり ADC に終わる? 98 年, 世界初のビデオ用 0b ADC を開発 以来,35 年にわたり ADC を開発してきた ADC 開発には終わりが無いようである 世界初のデジタルビデオスイッチャー 56QAM 無線伝送ソウル五輪のハイビジョン中継などに使用 T. Takemoto and A. Matsuzawa, JSC, pp.33-38, Bipolar (3um) 0b, 0MS/s, W $ 800 IR00 Award 受賞

19 8 ADC の性能推移

20 0 信号帯域と ADC の変換方式 SNR が 84dB 以上 ( 上限は 00dB 程度 ) の場合は Σ 型 ADC 信号帯域が 0MHz 以上で SNR が 40dB 以上の場合は Pipe, SAR Pipe, Int. SAR それ以外の領域では SAR ADC が汎用的に使用できる SNR( db ) SNR0( db ) 0 log( BW ) BW: 信号帯域 9 SNR (db) Σ (bit) 04/5/ SAR (+ over sample) 0k 00k M 0M 00M BW (MHz) Pipeline SAR+Pipe Int. SAR SNR 0 =43dB G SNR 0 = 35dB

21 微細化と ADC 性能 0 FoM( 動作エネルギー ) の減少は微細化が寄与している ただし, 高 SNDR においてはさほど寄与していない Fs B. Murmann, "ADC Performance Survey 997-0," [Online].

22 ADC の開発傾向 :SNDR と P d /f s SNDR と変換エネルギーは比例する 現状は理論限界に迫っている 理論限界 E ADC N N 0 9.E+07 現在の最前線.E+06 P/f snyq [pj].e+05.e+04.e+03.e+0.e+0.e+00.e Nyquist [db] ISSCC 0 VLSI 0 ISSCC VLSI FOMW=0fJ/conv-step FOMS=70dB 理論限界

23 ADC の開発傾向 : 信号帯域と SNDR 信号帯域が広いほど SNDR は劣化する 最近はジッタ換算でサブ ps の領域に入っている SNDR 0 log T j =ps f in T j ISSCC, VLSI T j : タイミングジッタ (σ) SNDR [db] T j =0.ps BW [MHz]

24 3 スケーラブル bit SAR ADC

25 スケーラブル ADC 4 SNR (db) 信号帯域が広いときは SNR は下がっても良いが, 信号帯域が低い場合は高い SNR を実現 消費電力は変換周波数に比例するような ADC が欲しい 無線通信用 ADCのSNRは信号帯域に反比例し消費電力は信号帯域に比例する K BW K : (mw/mhz) SNR SNR 0 0 log SDCT SDSC VCO BW (MHz) BW SNR 0 50dB 43dB 35dB P d 00 SNR Power dissipation (mw) P d f s 0 SDCT SDSC VCO ( db) 40 0 log( BW ISSCC VLSI Symp Matsuzawa, A. Digitally-Assisted Analog and RF CMOS Circuit Design for Software-Defined Radio, Chapter 7, Springer BW [MHz] )

26 SAR ADC: 汎用 ADC 5 SAR ADC は最も低いエネルギーで動作する ADC である この SAR を汎用 ADC として用いたい 容量ミスマッチや寄生容量によるリニアリティ劣化はデジタル的に補償する Comp. ミスマッチ容量補正 シリアル容量補正 bit, 65nmCMOS, 0.03mm S. Lee, A. Matsuzawa, SSDM 03 Logic Comp CDAC

27 ダイナミック型比較器 6 ダイナミック型比較器は CMOS ロジックと同様貫通電流がゼロで動作する 最大 4GHz の動作が可能だが, 数 Hz の低速でも動作する ノイズが大きく 0bit 以上の高分解能化が困難であったが, 低ノイズ回路の開発により bit の高分解能化が可能となった CLK Dynamic amplifier Na Latch Nb V DD N N V DD Na Nb N3a C L C L N3b V in+ I D I D V in- For CAL M M N3a Output N3b GND M. Miyahara, Y. Asada, D. Paik, and A. Matsuzawa, "A Low-Noise Self- Calibrating Dynamic Comparator for High-Speed ADCs," A-SSCC, Nov Yusuke Asada, Kei Yoshihara, Tatsuya Urano, Masaya Miyahara, and Akira Matsuzawa, "A 6bit, 7mW, 50fJ, 700MS/s Subranging ADC," A-SSCC, 5-3, pp. 4-44, Taiwan, Taipei, Nov. 009.

28 ダイナミック型比較器のノイズ 7 ダイナミック型比較器の構成をラッチの前に CMOS 増幅器を設けた構成にすることで, ノイズを低減させた またノイズレベルが負荷容量でほぼ決定されることを見出し, ノイズと消費電力の最適化指針を導いた v ni kt V C V L eff os 分解能と負荷容量 C L, 消費エネルギー Ec V DD =V, V eff =0.V Vn C L (ff), E c (fj) E c C L A. Matsuzawa, ASICON 009, pp. 8-, Oct 分解能 (bit)

29 動作タイミング 8 サンプリングパルスが入力され, 立下りエッジにより逐次比較動作が開始, 回の変換が行われると変換修了フラグが立つ 変換に要する時間は -- 8ns 程度しかかからない 残りの時間は回路をオフしてリーク電流を遮断できる 消費電力はサンプリング周波数に比例 Conversion period P d f s E d Sampling Conversion ns ns:.v 8ns:.0V End flag Power on Power off

30 MIM 容量の限界と MOM 容量 9 MOM 容量は MIM 容量と違い微細化により容量密度が増加する したがって, 微細化プロセスを用いることで占有面積が小さくなり, 距離が短縮されるので, 高速化, 低電力化を図ることができる MOM 容量により微細化とともに容量部の面積縮小が可能である 3 MOM capacitor Density (ff/um ) MIM 容量 MOM 容量 /5/ Design rule (nm)

31 消費電力特性 : スケーラブル Pd 30 完全なダイナミック動作により,ADC の消費電力は CMOS ロジックと同様動作周波数に比例する 低い変換周波数では超低電力化が可能 低い変換周波数では低電圧動作により, より低電力化が可能である 70MSps の高速動作を実現 5.0 Power dissipation [mw] V.0V 0.8V 50MSps: mw 5MSps: 00uW 500KSps: 0uW 50KSps: uw 5kSps: 0.uW Sampling frequency [MHz] S. Lee, A. Matsuzawa, et al., SSDM 03

32 性能比較 3 最高変換速度 :70MSps 最低動作電圧 :0.8V 最小消費電力 :.mw at 50MSps 最小 FoM:8fJ 最小面積 :0.03mm bit SAR ADCs This work [3] [4] Resolution (bit) V DD (V) fsample (MHz) Pd (mw) SNDR (db) FoM (fj) Nyq/DC 8/8 6/33 00/45 36/3 36/9 Technology (nm) Occupied area(mm ) S. Lee, A. Matsuzawa, et al., SSDM 03. [3] W. Liu, P. Huang, Y. Chiu, ISSCC, pp , Feb. 00. [4] T. Morie, et al., ISSCC, pp.7-73, Feb. 03.

33 SNR と信号帯域 : スケーラブル SNR 3 SNR は信号帯域が 0MHz で 6dB, デジタルフィルターで信号帯域を制限することで SNR を向上できる 帯域 MHz で 78dB の SNR を実現高い信号帯域に対してはインターリーブで対応の予定 消費電力はこれまでの通信用 ADC に比べ最少 SNR [db] S. Lee, A. Matsuzawa, et al., SSDM Over sampling SAR ADC w/ OVS SDCT SDSC VCO ISSCC VLSI Symp BW [MHz] Interleaving 50dB 43dB 35dB Power dissipation (mw) 00 0 V, 50MSps Operation SDCT SDSC VCO ISSCC VLSI Symp Over sampling This ADC Optimized BW [MHz]

34 33 時間領域処理を用いた 7bit.GSps ADC

35 34 ABB 用 7bit.GSps ADC の開発 6QAM 用 7bit ADC ダイナミックアンプで電圧を時間差信号に変換 時間差信号をロジックセルを用いて折り返し特性を実現 M. Miyahara, A. Matsuzawa, ISSCC mm Coarse SR Latch Resistive Averaging Ref ladder 0.mm D-FF x 7 Encoder Fine Interpolated SR Latch Time-based Folder x 4 04/5/6

36 電圧差から時間差への変換 35 ダイナミックアンプでは電位差の大きな入力ほど早く信号が出力されることを用いて, 電圧差から時間差に変換している D P3,N3 D N3 D P3 V INP3 V INN3 D P3 D N3 D P,N D P D N D P,N D P D N V INP D P L V INN D N t V INP D P V INN L D N Delay Time

37 時間領域での折り返し 36 タイミング上の折り返しは簡単な論理回路で実現できる AND: 遅いパルスを選ぶ D D D AND OR: 早いパルスを選ぶ D _ =D N0 OR D P D _ =D N4 OR D P6 ( 山折り : 早いパルスを選ぶ ) D _ =D _ AND D _ ( 谷折り : 遅いパルスを選ぶ )

38 折り返し信号間の補間 37 折り返し信号間を補間することで, 高精度な A/D 変換が可能になる Delay Time

39 補間比較器 38 重みづけされたインバータで補間を実現し SR ラッチでタイミングの比較を行う 時間領域では簡単なロジック回路で各種演算が行える D F D F4 3 S D F S D F4 D F D F4 S D F D F4 Q F R D F R D F3 D F D F3 D F D F3 3 R D F D F3 Q FB Q F Q F [6] D. Miyashita, et al., VLSI symp. 0

40 性能比較 39 GS/s 以上の Flash 型 ADC として最も高い SNDR =37.4dB を達成キャリブレーション回路無しで動作可能 消費電力が大きいが, 最適化で半減は可能 ISSCC 008 [3] VLSI 0 [8] VLSI 03 [9] This work Technology 90nm 40nm 3nm SOI 40nm LP Resolution [bit] Power Supply [V] Sampling Frequency [GS/s] Power Consumption [mw] [db] FoMw [fj/conv.-step] FoMs [db] Core area [mm ] Calibration Off chip Foreground Off chip No need

41 40 PLL 正確な周波数とタイミングを作り出す PLL の 技術革新は今後も続く

42 PLL 技術の革新 4 X tal X tal 04/5/6 PFD /N CP LPF DIV VCO (a) 通常のチャージポンプ PLL TDC DLPF Count DCO (d) 完全デジタル PLL ( サブサンプリング技術を使用 ) PLL 技術の革新はまだまだ続く 小面積, 低ジッタ 大面積 X tal X tal PFD IL パス VCO CP LPF レプリカ VCO DIV (b) インジェクションロック (IL) PLL ( レプリカVCOを使用 ) PFD 低ジッタ IL パス 低ジッタ CP LPF DIV VCO (c) インジェクションロック (IL) PLL ( レプリカVCOを不使用 ) 小面積, 低電力

43 注入同期技術 4 注入同期により, 高い周波数の発振器の位相を, より低い発振器で制御することができる Output t INJ P INJ N t Injection parallel injection 注入信号に位相が同期することで周波数が変化 04/5/6 位相雑音 ( ジッタ ) は注入信号に依存 周期が短くなる分 相対的にジッタが大きく見える 逓倍器の位相雑音 ロックレンジ PN ω L ILO ω Q o I I PN inj OSC INJ I I inj OSC 0 log( N ) N: 逓倍数 N=3 のとき 9.5dB

44 60GHz用低位相ノイズ直交VCO 43 60GHzの直交VCOに0GHzのPLLでインジェクションロックをかけることで ダイレクトコンバージョンや64QAMが可能となった In 0GHz matching block Qn VDD Ip それまでの60GHz 直交VCOの位相ノイズは INJn INJp Qp A. Musa, K. Okada, A. Matsuzawa, in A-SSCC Dig. Tech. Papers, pp. 0 0, Nov /5/6

45 システムクロック発生回路 44 インジェクションロック技術を用いた LSI のシステムクロック発生用リング発振器 低ジッタ, 低電力, 小面積 IL VCO,Tj=.8ps, mw, 0.0mm 従来の PLL に代わるクロック発生器今後はレイアウト合成が可能に IL VCO 性能比較 W. Deng, K. Okada, A. Matsuzawa, ISSCC 03 This work [] [] [5] IL-PLL DMDLL DPLL MDLL IL-PLL Freq. [GHz]..5.5 (0.5-.6) (0.8-.8) (0.8-.8) Ref. [MHz] 300 (40-300) Power [mw] Area [mm ] Integ. Jitter [ps] Jitter RMS/PP.8/ /9. 4./ /. [ps] 0M hits 5M hits 5M hits 30M hits N.A. FOM [db] CMOS Tech. 65nm 30nm 30nm 30nm 55nm

46 Injection-locked Ring Oscillator 45 差動型インバータリング VCO にインジェクションを行う DAC DAC DAC Delay Cell Delay Cell Delay Cell Injection Vout VInj W. Deng. ISSCC 03

47 インジェクションロックの効果 46 インジェクションロックにより位相雑音は大幅に低下 0.08GHz.3GHz Phase Noise [dbc/hz] Free Run Locked -40dBc/Hz 0k 00k M 0M Offset Frequency [Hz].99GHz.0GHz Ref.: 300MHz (40MHz-300MHz) Freq.:.GHz (0.5-.6GHz) Integrated jitter: 0.7ps (0kHz-40MHz) Pdc: 0.97mW (.GHz)

48 高精度時間 デジタル変換器 チャージポンプと SAR ADC を用いたサブ ps 分解能の TDC 低ノイズデジタル PLL などに使用予定 これまでの TDC はインバータ遅延を用いていたため 0ps 以下の分解能は困難であった DNL [LSB] 0 - DNL and INL in 8-bit with 0.84ps/LSB 時間分解能 : 0.8ps, 8bit, 40Msps,.5mW INL [LSB] 0 - 開発中の TDC: 0.8ps, 0bit, 00Msps, 4mW, 0.0mm Code Z. Xu, A. Matsuzawa, CICC 03.

49 完全デジタル PLL への期待 48 PLL はいまだにデジタル化されていない数少ない分野である チャージポンプ PLL は原理的に限界があり, 完全デジタル PLL (FDPLL) はその限界を打破できる これまでは TDC などの性能が悪くその良さを活かせなかったが TDC の性能に目途がついたことで, 飛躍的な性能向上が期待できる 高性能 FDPLL の実現が私の最後の仕事の一つである X tal PFD CP LPF VCO X tal TDC DLPF DCO /N DIV 大面積 適応制御可 Count 小面積, 低ジッタ 通常のチャージポンプ PLL 完全デジタル PLL ( サブサンプリング技術を使用 )

50 49 レイアウトドリブン設計と プログラマブルアナログ回路技術 アナログ回路の自動設計技術への挑戦

51 プログラマブルアナログ回路の概念 50 アナ デジ混載 LSI において, アナログ回路の開発が困難な状況は改善されておらず, 今後ますます困難になる 微細化 低電圧化により設計難易度が上昇 設計人材の減少 ( 事業選択 集中, リストラ ) 設計コスト削減の要求 (IP 開発費減, 試作回数減 ) プログラマブルアナログ回路による解決 コア回路の種類をできるだけ絞る 微細化 低電圧化に耐えうる回路のみを選抜 レイアウトに規則性のあるもののみを選抜 (RDAC, CDAC,etc) レイアウトを含め設計の大半を自動化 ( プログラマブル化 ) する テスト容易化設計も併せて行う 04/5/6

52 アナログ IP 開発の問題点 : レイアウトと寄生素子 5 素子 間を結線するという従来からのレイアウト設計思想では, 決して高精度で信頼性の高いアナログ回路は実現できない C V X CDAC のレイアウト 3 C C 3 C B 7 B 8 B V ref GND Top Plate 寄生容量 (3.5fF) CDAC の回路図 MS B CDAC において,Top Plate( 青 ) と Bottom Plate( 緑 ) に 3.5fF の寄生容量が付き最大 50LSB 程度のエラーが生じた.

53 これからのアナログ設計 レイアウト 5 output +Vref GND レイアウトの合理性を追求 素子間配線を無くす 素子の規則性を重視 各素子のピッチを合わせる 菅原, 松澤他, アナログ RF 研究会,03 年 月

54 これからのアナログ設計 レイアウト はじめから容量, スイッチ, ロジックのピッチを合わせて設計する寄生容量や配線が最小になり, 性能向上, 低電力, 小面積が実現 設計の自動化もし易い SAR ADC の例 53 菅原, 松澤他, アナログ RF 研究会 03 年 月

55 レイアウト合成技術 54 RDAC, CDACなど規則性のあるアナログ回路を自動合成 開発期間の短縮 高速 低電力 小面積 RDAC回路 盛 松澤 他 9ビットRDACの自動合成 アナログRF 研究会 03年8月 最適構成の自動計算 SKILL言語による自動レイアウト 自動合成した RDACレイアウト 04/5/6

56 回路図とレイアウト図 (LOGICpn0) 55 論理回路も規則性を重視して選択し, 自動レイアウトに乗せる PMOS NMOS NMOS PMOS

57 ピッチを合わせる 56 LOGIC 回路 DFF 回路 スイッチ回路を一直線に配置配線

58 プログラマブルアナログ回路技術の今後 57 人間は技術を開発するだけ, ネットで仕様を受け付け, ネットで設計データを送る 多くのオーダーに速く, 安く対応できる 仕様の入力 ユーザー 全てオンライン 会社 開発の現状 回路図 GDS データシミュレーション結果 RDAC: ほぼ完成 CDAC: ほぼ完成 SAR ADC: 本年度中に開発 OP アンプ フィルタ : 来年度中に開発 PLL: 来年度中に開発 プログラム開発回路開発シミュレーション技術開発 開発者

59 58 新しい電気系の教育 技術開発に最も必要なものは人材である現在, 東工大は全学を挙げて教育改革に取り組んでいる電気系の新しい教育への取り組みのポイントを紹介する

60 教育体制 教育体制 授業運営方法 授業内容 59 学習指導要領 を作成し, コースで何をどう教えるかを明確する 授業の 見える化 を進め, 科目概要やTP により教員間で科目内容を相互確認し, 科目のストーリー性を高め, 教授内容の不要なダブりを防ぐ 教授内容 方法について教員間で論議し, 教材作成などで協力する 授業方法 Matlab や Spice などの世界標準のシミュレーションツールを学生に提供する 理論の可視化による理解の促進 自らパラメータや構成を変えて結果を確認する [ ミニ実験室 ] により能動的学習を促す プログラミングを身近なものにし, シミュレーション技術に慣れさせる 科目の特性により, 授業と演習の重みを変え, ビデオ教材なども充実させる 授業内容 現在の電子工学に不可欠な重要コンセプトを体系化し, シンプルに丁寧に教える スイッチングによる電磁エネルギー変換, 次の帰還系の回路 (Opamp, PLL, ΣADC etc) などの充実 線形システム論を理論の中心に据え, 回路, 信号処理, 制御を統合 時間領域特性の強化 トランジスタ以外のメモリ センサー 光デバイスなどの電子デバイスを強化 理論を理解させるだけでなく, 理論を実際に使用できる環境まで与える シミュレータの提供とシミュレーション技術の習得 学生実験などによる体験 TP (Teaching portfolio)

61 電気 電子コース群体系図 Matlab 行列数値計算手法 計算アルゴリズムとプログラミング 数学科目群 ランダムプロセス 工業経営 確率統計学品質管理 ITC 概論常微分, 偏微分ポールとゼロ伝達関数留数時間 周波数応答標本化 Z 変換電子計測解析学フーリエ変換離散時間 ( 電気電子 ) 及びラプラス変換システムディジタル回路 共通科目群 先端ネットワーク工学 電気電子工学創造実験 技術論文 (L ゼミ ) 情報ネットワーク設計論 電気現業実習 一般機械工学 化学技術者実践英語 60 ブール代数, 順序回路論理演算器, 全体制御 技術者倫理 材料力学概論 波の伝搬と輻射分布定数回路波動波動工学 通信理論 情報理論 通信方式変復調, 誤り訂正 電磁気学 電磁気学 ベクトル解析 電界 磁界 信号 振動 電気回路 電気回路 電磁エネルギースイッチング電源制御工学 パワーエレクトロニクス 電気機器工学 モーター発電機 光エレクトロニクスレーザー 光ファイバー導波路工学電力系科目群 周波数応答時間応答など 3 相交流トランスなど 伝達関数状態関数 負帰還安定性 応答速度 自動制御 電力工学 電力工学 量子力学 小信号回路演算回路発振回路など 半導体物性 電気電子材料 アナログ電子回路 トランジスタ ダイオード バンド構造 PN 接合電気伝導 誘電体 磁性体 離散化 電子デバイス トランジスタ系 光 電磁物性 応用電子回路 CMOS 論理回路 PLL, ΣADC etc 集積回路工学 記憶デバイス 光デバイス 電力エネルギー変換工学 高電圧工学 原子核工学概論 電気鉄道 電気法規及び施設管理 電気機械設計及び製図 電力工学実験 必修 単位必修 単位選択 単位選択 単位点線は他学科科目 04/5/6 実験科目群 電気電子実験 電気電子実験 電気電子実験 3 数学は共通知識なので特に関連が深い科目以外は関係は非表示

62 電気 電子システムの技術体系 6 電気 電子システムは全てこのような構造になっている この概念をしっかり教える このためには, 回路だけでなく, 制御と信号処理を加え, 融合させる必要がある 連続時間系 微分方程式 ラプラス表記 制御 ( 負帰還 ) ノイズ 外乱 入力 d, dt dt 出力 s, s H(s) ラプラス変換 負帰還の概念 微分方程式 ポールとゼロ 時間応答 周波数応答 安定性 SZ 変換 Y 関数,Z 関数,H 関数離散時間系 システム設計は S ドメインで行い,S->Z 変換で Z ドメインに写像し CPU, DSP でデジタル制御するのが一般的 04/5/6 システムの設計 応答, 安定性 ノイズ伝達関数 z z ポールとゼロ 時間応答 周波数応答 安定性 標本化 Z 変換 ( 差分化 )

63 6 電気 電子システムの体系 H(s) (s) x ) y(s 入力出力 ) ( ) ( ) ( s x H s s y H(s): システム関数 伝達関数 インピーダンス アドミッタンス m n p s p s p s z s z s z s H s) H( ポールとゼロで表す pt p Ke 基本 : 指数関数応答ポールの位置で応答や安定性が決まる s j m n p j p j p j z j z j z j H ) j H( ) ( j r e ) M ( S j pm p p zn z z j pm p p zn z z e M M M M M M H j H ) ( ポール ゼロからのベクトルで決まる pm p p zn z z j j j j j j G j H ) ( 大きさと位相で表されるポール ゼロからのベクトル ) ( j r e ) M ( S j ポール角周波数ゼロ角周波数で決まる時間応答周波数特性ボード線図 04/5/6

64 63 教育の課題 : 現在の主要アナログ回路 V in V out V n V n V n3 ) ( s A ) ( A s ) ( ) ( ) ( ) ( ) ( ) ( ) ( s A s A s A s A s V s V H s in out - 現在は周波数, クロックの発生は PLL, オーディオや狭帯域通信は ΣADC DAC, フロントエンドは能動アナログフィルタが使われるが, 大学では殆ど教えていない 電気電子工学を卒業しても現行の電子機器の仕組みを知らない!! s ω s A u ) ( z u ω s s ω s A ) ( ) ( u z u z u z u z u ω s ω ω s ω s ω ω s s ω ω s s ω H s 完全積分器ゼロ点補償積分器これらの回路は つ以上の積分器を用いた負帰還回路で説明できる z u ω ω ζ ζ ζ ω S u p ダンピングファクター PLL の VCO ノイズ ΣADC の量子化ノイズ PID 制御と同等外乱 04/5/6

65 ノイズ伝達関数 64 入力端のノイズは高域で減衰するが, 他のノイズは低域で減衰し, 高域でそのまま現れる ΣADC DAC のノイズシェーピングもこのノイズ伝達関数で説明できる A ω s u ω ( s) u s A ( s) s ωz s ωu ω z Hn( s) ωu s s ωu ωz s sωn ω z Hn ( s) ωu s s ωu ω H n 3 ( ) 04/5/6 s 次の LPF の場合 s z s ωu ω z s ω u AC RESPONSES (db) H ( ω n ) H ( ω n ) H ( ω n 3 ) BPF LPF ( 次 ) HPF ( 次 ) VD VDB(5)@ PLL の VCO 位相ノイズ ΣADC の量子化ノイズ FREQUENCY (Hz) VD

66 まとめ 65 無線での超高速データ伝送技術を開発 60GHzのチャネルボンディングによる広帯域化と6QAMを用いた多値化によりミリ波最高速の8Gbpsを達成 300Gbpsを目指す 今後の汎用的 ADCとして高速 bit SAR ADCを開発 これまでの無線通信用 ADCの性能を最小電力で実現 オーバーサンプリング技術を用いることで,80dB 程度のSNRは可能 時間領域処理は論理回路でアナログ演算が可能になる この技術を用いたミリ波 6QAM 用 7bit,.GspsのADCを開発 PLLの高性能化 低電力化に向けて, インジェクションロック発振器, サブサンプリング技術, サブps 分解能 TDC, フルデジタルPLLなどを開発中 レイアウトドリブンのアナログ設計手法を提案 プログラムアナログ技術によりレイアウトを含めた設計自動化を推進 東工大電気系の教育への取り組みを紹介 04/5/6

Microsoft PowerPoint - 光ネットワーク産業_ pptx

Microsoft PowerPoint - 光ネットワーク産業_ pptx 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると,

More information

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx アナログ技術の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 1 TV, VTRのデジタル化とアナログ技術 今日のアナログ技術の開発 松澤 岡田研究室の紹介 60GHz CMOSトランシーバの開発 ADC, アナログ回路開発の今後 今後の発展に必要なもの まとめ 2 これまでの40 年間日本の民生機器メーカが行ってきたことは電子機器のデジタル化, 小型化 デジタル化に伴い,ADCなどのアナログ技術も発展

More information

Tokyo Tech Template

Tokyo Tech Template 電子システム構築のための LSI 設計とアナログ技術 松澤昭 2014.01.30 東京工業大学大学院理工学研究科 Lab. Tokyo Institute & of Okada Technology Lab. 要旨 1 これまで日本の電子機器メーカが行ってきたことはデジタル化, 小型化 集積回路技術の向上でこれを達成 デジタル化が終了, 他分野の電子化などの発展ストーリが必要 アナログ (SoC)

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

スライド 1

スライド 1 ミリ波帯における注入同期を用いた I/Q ミスマッチ補償手法 近藤智史, 河合誠太郎, 岡田健一, 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤 岡田研究室 発表内容 2 研究背景 目標 従来技術と課題 注入同期を用いた補償手法 理論検討 測定結果 結論 研究背景 3 Australia Canada, USA Japan Europe 57 Frequency [GHz] 66 59.4

More information

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc)

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc) ディジタル PLL 理論と実践 有限会社 SP システム 目次 - 目次 1. はじめに...3 2. アナログ PLL...4 2.1 PLL の系...4 2.1.1 位相比較器...4 2.1.2 ループフィルタ...4 2.1.3 電圧制御発振器 (VCO)...4 2.1.4 分周器...5 2.2 ループフィルタ抜きの PLL 伝達関数...5 2.3 ループフィルタ...6 2.3.1

More information

Microsoft PowerPoint - IEICE_matsu_ pptx

Microsoft PowerPoint - IEICE_matsu_ pptx アナログ RF CMOS 集積回路技術の 現状と今後の動向 -- ADC などのベースバンド回路を中心に -- 松澤昭 東京工業大学大学院理工学研究科 内容 60GHz ミリ波通信用 ADC 補間パイプライン型 ADCの提案と開発 ビット SAR ADCの開発 SAR ADCの開発課題 アナログ ADC 開発の今後 60GHz ミリ波通信用 ADC 従来のミリ波システム 3 006 年には GaAs

More information

Microsoft PowerPoint - HAB_matsu_ ppt [互換モード]

Microsoft PowerPoint - HAB_matsu_ ppt [互換モード] アナログ回路開発 4 年を振り返って ADC を中心とした技術の変遷と今後 松澤昭 東京工業大学 内容 はじめに バイポーラの時代 並列型 (Flash) ADC Bi-CMOS の時代 直並列型 ( 抵抗補間 )ADC CMOS の時代 直並列型 ( 容量補間 )ADC パイプライン ADC CMOS 超高速 ADC ゲート補間 ADC DVD 用アナデジ混載 SoC の実現 SA-ADC の革新

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt 超高速 低電力 ADC 松澤昭宮原正也 東京工業大学 28.96 A. 内容 2 はじめに 6bit 超高速 ADCの動向 8bit 以上の超高速 ADCの動向 まとめ 28.96 A. ADC 応用の例 :DVD システム 3 DVD DVDでは再生された信号をAD 変換して イコライザーや誤り訂正をデジタル技術で行うことで信号品質を上げる ワイアレスシステムも基本的には同じ波形等価誤り訂正 Variable

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

電子情報通信学会ワードテンプレート (タイトル)

電子情報通信学会ワードテンプレート (タイトル) 社団法人電子情報通信学会 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS 信学技報 IEICE Technical Report 補間技術とバックグランド補償技術を用いた 8-bit 600-MSps 並列型 ADC に関する研究 白戴和浅田友輔宮原正也松澤昭 東京工業大学電子物理工学専攻 152-8552

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48 081503014 成果発表会資料 Oct 4, 2011 1 次世代超微細 CMOS プロセスに適した高マイクロ波帯デジタル RF 回路技術の研究開発 (081503014) このたびの東日本大震災により被災された皆様方に心よりお見舞い申し上げますとともに 皆さまの安全と一日も早い復旧を心よりお祈り申し上げます 研究代表者益一哉 Kazuya Masu 東京工業大学ソリューション研究機構 Solutions

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx 60GHz CMOS トランシーバーの開発 -- 無線を用いた超高速データ伝送の実現 -- 2013/1/23 松澤昭 東京工業大学大学院理工学研究科 内容 1 60GHz CMOS トランシーバの概要 60GHz CMOS RF 回路設計のポイント 超高速 低電力 ADC 2 60GHz CMOS トランシーバの概要 利用モデル 3 ギガビット機器間データ伝送の実現瞬時のデータトランスファーを狙い,

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2 The World Leader in High Performance Signal Processing Solutions FPGA 時代の高速データ コンバータのクロッキング アナログ デバイセズ株式会社 アナログ デバイセズ株式会社石井聡 アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ www.tij.co.jp JAJA098 トランス インピーダンス アンプ設計の基礎 川田章弘 Field Application & Solutions, Analog Signal hain アブストラクト 本アプリケーション レポートは, 初めてトランス インピーダンス アンプを設計する人のために, 回路定数を決定する方法とアンプの雑音レベル, および回路の安定性について検討する方法を解説するものです.

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

スライド 1

スライド 1 アナログ検定 2014 1 アナログ検定 2014 出題意図 電子回路のアナログ的な振る舞いを原理原則に立ち返って解明できる能力 部品の特性や限界を踏まえた上で部品の性能を最大限に引き出せる能力 記憶した知識や計算でない アナログ技術を使いこなすための基本的な知識 知見 ( ナレッジ ) を問う問題 ボーデ線図などからシステムの特性を理解し 特性改善を行うための基本的な知識を問う問題 CAD や回路シミュレーションツールの限界を知った上で

More information

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X (

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X ( 第 週ラプラス変換 教科書 p.34~ 目標ラプラス変換の定義と意味を理解する フーリエ変換や Z 変換と並ぶ 信号解析やシステム設計における重要なツール ラプラス変換は波動現象や電気回路など様々な分野で 微分方程式を解くために利用されてきた ラプラス変換を用いることで微分方程式は代数方程式に変換される また 工学上使われる主要な関数のラプラス変換は簡単な形の関数で表されるので これを ラプラス変換表

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集 地盤環境モニタリングの広域化とコスト低減のための無線センサネットワークの実用化に関する検討 地球工学研究所地圏科学領域池川洋二郎 Email:ikegawa@criepi.denken.or.jp 1 背景と目的 背景 : 豪雨, 地震などによる斜面災害に対する維持管理や減災技術の適用による効果や機能をモニタリングにより評価することが重要である. 必要性 : モニタリングの広域化と, 低コスト化が可能な技術開発が望まれる.

More information

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究 CMOS RF 回路 ( アーキテクチャ ) と サンプリング回路の研究 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 974516 滝上征弥 指導教官小林春夫教授 発表内容 1.CMOS RF 回路 (a) 復調部アーキテクチャ (b) VCO 回路 ( 発振器 ) 2. サンプリング回路 (a) オシロスコープ トリガ回路 (b) CMOS コンパレータ回路 目的 無線通信システムの

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

Microsoft PowerPoint - バスゼミ_ ppt [互換モード]

Microsoft PowerPoint - バスゼミ_ ppt [互換モード] 電気 電子システムと複素数 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 4/4/3 4/4/3 講演の狙い 電気電子工学や制御工学では 複素数 がやたら多く出てくる 複素数を使うと, 複雑なことが簡単になるのだが, 虚数 という一見存在しないような数を使うので, 最初はとまどってしまう そこで, なぜ電気電子工学では複素数を使うのか, どんな意味があるかについて説明したい 今後学習を進めるための参考にしてほしい

More information

各学科 課程 専攻別開設授業科目 ( 教職関係 ) 総合情報学科 ( 昼間コース ) 中学校教諭 1 種免許状 ( 数学 ) 高等学校教諭 1 種免許状 ( 数学 ) 代数学 線形代数学第一 2 線形代数学第二 2 離散数学 2 応用代数学 2 オペレーションズ リサーチ基礎 2 数論アルゴリズム

各学科 課程 専攻別開設授業科目 ( 教職関係 ) 総合情報学科 ( 昼間コース ) 中学校教諭 1 種免許状 ( 数学 ) 高等学校教諭 1 種免許状 ( 数学 ) 代数学 線形代数学第一 2 線形代数学第二 2 離散数学 2 応用代数学 2 オペレーションズ リサーチ基礎 2 数論アルゴリズム 免許状取得に必要な履修科目 教育職員免許法施行規則に 左に該当する本学の 履修 高等学校教諭 高等学校教諭 中学校教諭 定める修得を要する科目 開設科目及び単位数 年次 専修免許状 1 種免許状 1 種免許状 教職の意義等に関する科目教職論 2 1 年 2 単位 2 単位 2 単位 教 教育原理 2 1 年 職 に教育の基礎理論に関する科教育心理学 2 1 年 6 単位 6 単位 6 単位 関目 す

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

Microsoft PowerPoint - Presentation.ppt

Microsoft PowerPoint - Presentation.ppt サンプリングミキサを用いたワンセグ放送用 uner フロントエンドの研究 A sudy on he fron end for he uner using sampling mixer in ISDB-T 笹井重徳 小島貴志 馬上崇 李寧 倉科隆 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤研究室 目次 研究背景 サンプリングミキサワンセグ放送 サンプリングミキサ 結論 提案回路の特徴パラメータの決定

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E >

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E > 目次 参考文献安達著 : 通信システム工学, 朝倉書店,7 年. ディジタル変調. ディジタル伝送系モデル 3. 符号判定誤り確率 4. 元対称通信路 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 変調とは?. ディジタル変調 基底帯域 ( ベースバンド ) 伝送の信号波形は零周波数付近のスペクトルを持っている. しかし, 現実の大部分の通信路は零周波数付近を殆ど伝送することができない帯域通信路とみなされる.

More information

Microsoft Word - pressrelease _okada.doc

Microsoft Word - pressrelease _okada.doc 平成 25 年 2 月 15 日 東京工業大学広報センター長 大谷清 二重ループ構造により注入同期現象を安定化 - 小型 低ジッタ 低消費電力のクロック生成を実現 - 概要 東京工業大学大学院理工学研究科の松澤昭教授と岡田健一准教授らの研究グループは ( 用語集積回路中での注入同期現象 1) の安定化に成功した 注入同期現象をクロック生成に応用すれば 低消費電力で高純度なクロックを生成できることが知られていたが

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

スライド 1

スライド 1 作成 : 群馬大学電気電子教員 電子回路設計 OP アンプ (2) 小林春夫 桑名杏奈 Email: koba@gunma-u.ac.jp Tel: 277-3-788 オフィスアワー : AM9:~AM:( 平日 ) 電気電子棟 (3 号館 )4F 44 室 電子回路設計 授業の内容 第 回講義内容の説明と電子回路設計の基礎知識 第 2 回キルヒホッフ則を用いた回路解析と演習 第 3 回集積回路のデバイス

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

Microsoft PowerPoint - chap8.ppt

Microsoft PowerPoint - chap8.ppt 第 8 章 : フィードバック制御系の設計法 第 8 章 : フィードバック制御系の設計法 8. 設計手順と性能評価 キーワード : 設計手順, 性能評価 8. 補償による制御系設計 キーワード : ( 比例 ),( 積分 ),( 微分 ) 学習目標 : 一般的な制御系設計における手順と制御系の性能評価について学ぶ. 学習目標 : 補償の有効性について理解し, その設計手順を習得する. 第 8 章

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

ディジタル信号処理

ディジタル信号処理 ディジタルフィルタの設計法. 逆フィルター. 直線位相 FIR フィルタの設計. 窓関数法による FIR フィルタの設計.5 時間領域での FIR フィルタの設計 3. アナログフィルタを基にしたディジタル IIR フィルタの設計法 I 4. アナログフィルタを基にしたディジタル IIR フィルタの設計法 II 5. 双 次フィルタ LI 離散時間システムの基礎式の証明 [ ] 4. ] [ ]*

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

スライド 1

スライド 1 第 47 回集積回路技術リテラシー研究会 2017/10/2 トリガ回路を用いた 積分型時間デジタイザ回路 佐々木優斗 小澤祐喜 小林春夫 群馬大学理工学部電子情報理工学科小林研究室学部 4 年佐々木優斗 t14304053@gunma-u.ac.jp @ 東京工業大学すずかけ台キャンパス Kobayashi Lab. Gunma University アウトライン 2/36 研究背景 従来の時間デジタイザ回路

More information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information The World Leader in High Performance Signal Processing Solutions SPICE ツールで適切な周波数特性と異常発振しない OP アンプ回路を実現する 基礎編 アナログ デバイセズ株式会社石井聡 1 アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 回転型クレーン / 倒立振子の制御 回転型クレーンの制御 状態方程式 コントローラ設計 ( 極配置法 ) コントローラ設計 ( 最適レギュレータ ) 回転型倒立振子の制御 状態方程式 コントローラ設計 コントローラの形式 : 状態フィードバック P-D コントローラ アームの P-D 振子の P-D 目標値 状態フィードバック制御 回転型クレーン コントローラ で 状態フィードバック制御 回転型クレーン

More information

株式会社xx御中

株式会社xx御中 SAW 共振子による低位相雑音発振器 と次世代携帯電話への応用 電子情報通信学会春季総合大会於名城大学 アール エフ アーキテクチャ株式会社 森榮真一 2017 年 3 月 26 日 サマリー : 次世代携帯電話向けローカル発振器の提案と検証 次世代携帯電話通信においては 更なる高速 大容量化が期待されている 今後 携帯電回線高速化の実現のために 利用電波帯域は数十 GHz 帯への移行が予測される

More information

OPアンプ応用ヘッドホーン用アンプの設計ノウハウ

OPアンプ応用ヘッドホーン用アンプの設計ノウハウ 2012 CDTL 回路設計ノウハウノート file: OP アンプ応用ヘッドホーン用アンプの設計ノウハウ 回路理論 完成 シミュレーション 電子回路設計技術 検証 回路設計 試作実験 [OP アンプ応用ヘッドホーン用アンプの設計ノウハウ ] OP アンプとトランジスタ出力のヘッドホーン用アンプの設計ノウハウ 1 2012-9 オペアンプの応用によるヘッドホーン用アンプの設計 1. 概要電圧増幅段に

More information

電子回路I_8.ppt

電子回路I_8.ppt 電子回路 Ⅰ 第 8 回 電子回路 Ⅰ 9 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 小信号増幅回路 (1) 結合増幅回路 電子回路 Ⅰ 9 2 増幅の原理 増幅度 ( 利得 ) 信号源 増幅回路 負荷 電源 電子回路 Ⅰ 9 3 増幅度と利得 ii io vi 増幅回路 vo 増幅度 v P o o o A v =,Ai =,Ap = = vi

More information

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術 平成 27 年度電子回路研究会高知市文化プラザかるぽーと Signal-Suppression Feed Forward ( 信号抑制フィードフォワード ) を用いた広帯域 LNA の低消費電力ノイズキャンセル技術 興大樹, 河内智, 李从兵, 神山雅貴, 高橋伸夫 ( 群馬大学 ) 馬場清一 ( 豊橋技術科学大学 ), 壇徹 ( オン セミコンダクター ) 小林春夫 ( 群馬大学 ) Gunma-univ.

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

日置技報-AC/DCカレントセンサ CT6904/CT

日置技報-AC/DCカレントセンサ CT6904/CT 依田元 * 要 旨 は,5 A と 8 A 定格の大電流測定に対応し, MHz (±3 db) の広い測定周波数帯域を実現した高精度電流センサである. スイッチング周波数の高周波化に伴うノイズ環境下での電流測定を考慮し, 新規開発した巻線構造とシールド構造により, 広帯域, かつ, 高い耐ノイズ性を実現できた. ここに製品の概要, 特長, 構成, および特性データについて解説する.. はじめに 自動車,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 3 章通信の概念 システムLSI 設計 2018 10/19 石黒 1 of 48 内容 変調方式 アナログ変調 デジタル変調 ( スペクトルリグロース ) 移動体 RF 通信 多元接続方式 時分割 (TDD) および周波数分割 (FDD) デュプレクス 周波数多元接続 (FDMA) 時分割多元接続 (TDMA) 符号分割多元接続 (CDMA) 各種無線規格 2 of 48 iphone Xs

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

開発の背景 2020 年の東京オリンピック パラリンピックに向け 第 5 世代移動通信システム (5G) の実用化を目指した研究開発が活発化している この背景には スマートフォンやタブレット端末の普及に伴い 高精細動画サービスなどによるデータ通信量が急激に増大していることや IoT( モノのインター

開発の背景 2020 年の東京オリンピック パラリンピックに向け 第 5 世代移動通信システム (5G) の実用化を目指した研究開発が活発化している この背景には スマートフォンやタブレット端末の普及に伴い 高精細動画サービスなどによるデータ通信量が急激に増大していることや IoT( モノのインター 平成 30 年 6 月 8 日 報道機関各位 東京工業大学広報 社会連携本部長佐藤勲 5G 向けミリ波無線機の小型化に成功 - 安価な集積回路で実現 スマホ搭載に最適 - 要点 世界初の 移相方式による 28GHz 帯 5G 向けフェーズドアレイ無線機を開発 安価で量産可能なシリコン CMOS 集積回路チップにより実現 毎秒 15 ギガビットの無線伝送に成功 概要 東京工業大学工学院電気電子系の岡田健一准教授らは

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

Microsoft PowerPoint - machida0206

Microsoft PowerPoint - machida0206 広帯域制御のためのフォトメカニカルアクチュエータの開発とその応用 東京大学新領域創成科学研究科物質系専攻三尾研究室 M2 町田幸介 重力波研究交流会 (2009 2/6) 1 発表の流れ 実験の背景 広帯域制御のためのアクチュエータ 実験の目的 実験 電磁アクチュエータの作製 電磁アクチュエータの評価 電磁アクチュエータの応用 ( 位相雑音補償と共振器長制御 ) まとめ 2 広帯域制御のためのアクチュエータ

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt 応用電力変換工学舟木剛 第 5 回本日のテーマ交流 - 直流変換半端整流回路 平成 6 年 月 7 日 整流器 (cfr) とは 交流を直流に変換する 半波整流器は 交直変換半波整流回路 小電力用途 入力電源側の平均電流が零にならない あんまり使われていない 全波整流回路の基本回路 変圧器が直流偏磁しやすい 変圧器の負荷電流に直流分を含むと その直流分により 鉄心が一方向に磁化する これにより 鉄心の磁束密度の増大

More information

Microsoft Word - TokyoTechPR _Masu_web.doc

Microsoft Word - TokyoTechPR _Masu_web.doc 平成 27 年 2 月 20 日 報道機関各位 東京工業大学広報センター長 大谷 清 高周波無線給電型の超低電力無線機で多値変調を実現 要点 5.8GHz 帯 113μW で動作する無線送信機に 多値変調を適用 直交バックスキャッタリング回路 により 32QAM,2.5M ビット / 秒を実現 無線機は高周波無線給電技術で生成した電源により動作 概要 東京工業大学フロンティア研究機構の益一哉教授と精密工学研究所の伊藤浩之准教授

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 3) PLL( 位相ロック ループ ) 回路でのトラブル解決技法と性能改善技法 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

<4D F736F F F696E74202D2091E F12D96B390FC92CA904D82D682CC899E97702E707074>

<4D F736F F F696E74202D2091E F12D96B390FC92CA904D82D682CC899E97702E707074> 電磁波工学 第 11 回無線通信システムと回線設計 ( 固定局通信 移動体通信 衛星通信 ) 講義ノート 柴田幸司 無線通信と回線設計 無線機器の信号 ( 電磁波 ) を空間に放射し 情報 ( デジタル アナログ ) を伝送する手法 --- 通信方式 ( 送受信点による分類 )--- 固定通信 マイクロ波 中継回線 (4,5,6G), 携帯電話のエントランス回線 (TV ラジオ放送) 移動体通信 携帯電話

More information

スライド 1

スライド 1 2011 年 10 月 4 日,SCOPE 第 7 回成果発表会, 幕張メッセ デジタルコヒーレント光通信技術の 研究開発 Research on Digital Coherent Optical Communication Systems 菊池和朗 Kazuro Kikuchi 東京大学大学院工学系研究科電気系工学専攻 Department of Electrical Engineering and

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

Microsoft PowerPoint - ch3

Microsoft PowerPoint - ch3 第 3 章トランジスタと応用 トランジスタは基本的には電流を増幅することができる部品である. アナログ回路では非常に多くの種類のトランジスタが使われる. 1 トランジスタの発明 トランジスタは,1948 年 6 月 30 日に AT&T ベル研究所のウォルター ブラッテン ジョン バーディーン ウィリアム ショックレーらのグループによりその発明が報告され, この功績により 1956 年にノーベル物理学賞受賞.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 平成 17 年度前期大学院 情報デバイス工学特論 第 9 回 中里和郎 基本 CMOS アナログ回路 (2) 今回の講義内容は 谷口研二 :LS 設計者のための CMOS アナログ回路入門 CQ 出版 2005 の第 6 章ー 9 章 (pp. 99-158) の内容に従っている 講義では谷口先生のプレゼンテーション資料も使用 ソース接地増幅回路の入力許容範囲 V B M 2 M 1 M 2 V in

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション PID 制御の基礎 ON/OFF 制御 PID 制御 P 制御 過渡特性を改善しよう PD 制御と P-D 制御 定常特性を改善しよう PI-D 制御 4.2 節 I-PD 制御 角度制御実験装置 0 [deg] 30 [deg] 角度制御実験装置 目標値 コントローラ ( マイコン ) アクチュエータ (DC モータ ) 制御対象 ( アーム ) 角度 センサ ( ロータリエンコーダ ) ON/OFF

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 復習 ) 時系列のモデリング ~a. 離散時間モデル ~ y k + a 1 z 1 y k + + a na z n ay k = b 0 u k + b 1 z 1 u k + + b nb z n bu k y k = G z 1 u k = B(z 1 ) A(z 1 u k ) ARMA モデル A z 1 B z 1 = 1 + a 1 z 1 + + a na z n a = b 0

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output H28 群馬大学大学院講義パワーエレクトロニクス工学論

More information

Microsoft PowerPoint - 6.PID制御.pptx

Microsoft PowerPoint - 6.PID制御.pptx プロセス制御工学 6.PID 制御 京都大学 加納学 Division of Process Control & Process Systems Engineering Department of Chemical Engineering, Kyoto University manabu@cheme.kyoto-u.ac.jp http://www-pse.cheme.kyoto-u.ac.jp/~kano/

More information

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E >

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E > 多重伝送と多重アクセス コミュニケーション工学 A 第 4 章 多重伝送と多重アクセス 多重伝送周波数分割多重 (FDM) 時分割多重 (DM) 符号分割多重 (CDM) 多重アクセス 多重伝送 地点から他の地点へ複数チャネルの信号を伝送するときに, チャネル毎に異なる通信路を用いることは不経済である. そこでつの通信路を用いて複数チャネルの信号を伝送するのが多重伝送である. 多重伝送の概念図 チャネル

More information

資料 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 90~108MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 90~10

資料 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 90~108MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 90~10 資料 4-6-3-1 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 9~8MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 9~8MHz 帯に配 置し FM 放送の所要の受信品質を満足するための干渉 DU 比を求める 評価基準 S/N=5dBを満足する受信品質を所要の受信品質とする

More information

050920_society_kmiz.odp

050920_society_kmiz.odp 1 リアルタイム伝搬測定にもとづく MIMO 固有モード間相関解析 Correlation Analysis of MIMO Eigenmodes Based on Real-Time Channel Measurement 水谷慶阪口啓高田潤一荒木純道 Kei Mizutani Kei Sakaguchi Jun-ichi Takada Kiyomichi Araki 東京工業大学 発表内容 研究背景

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

表 2 学習 教育到達目標とその評価方法及び評価基準 基準 1(2)(a) 関連分抜粋 学習 教育到達目標の大項目 (A) 人間としての教養を身につける (A) 人間としての教養を身につける (B) 技術者倫理を修得する 学習 教育到達目標の小項目 ( 小項目がある場合記入 ない場合は空欄とする )

表 2 学習 教育到達目標とその評価方法及び評価基準 基準 1(2)(a) 関連分抜粋 学習 教育到達目標の大項目 (A) 人間としての教養を身につける (A) 人間としての教養を身につける (B) 技術者倫理を修得する 学習 教育到達目標の小項目 ( 小項目がある場合記入 ない場合は空欄とする ) 表 2 学習 教育到達目標とその評価方法及び評価基準 基準 1(2)(a) 関連分抜粋 (A) 人間としての教養を身につける (A) 人間としての教養を身につける (B) 技術者倫理を修得する 人間の本質や歴史 及び文化 社会とそれに関わる秩序などについてより深く考察できる 国家間の関係 地球上の人々の相互依存関係について 理解し 説明できる 技術者が社会に対して大きな責任を負っていることを理解し

More information

(Microsoft PowerPoint - stp\203Z\203~\203i\201i090729\201j.ppt [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - stp\203Z\203~\203i\201i090729\201j.ppt [\214\335\212\267\203\202\201[\203h]) ディジタル方式フラックスゲート磁力計の高分解能化 2009/07/29 STP セミナー 井口恭介 目次 SCOPE S310-40 号機 フラックスゲート磁力計 ディジタル方式 先行研究と課題ー高分解能化と線形性ー 課題への対策ー ΔΣ 変調方式 DAC ー 現状 まとめと今後の計画 SCOPE Scale COupling in the Plasma univere 5 機の衛星による同時マルチスケール観測目的

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information