fukunagaCSP14th.pptx

Size: px
Start display at page:

Download "fukunagaCSP14th.pptx"

Transcription

1 第 14 回 CSP 研究会 ( 東洋大学 ) CSP ベースの並列処理記述言語の動向 福永力 首都大学東京 数理情報科学専攻 29/11/2014 1

2 内容 米国学部情報教育カリキュラム改定案 (2013) 最近のCSPベース並列処理記述言語の動向 ProcessJ(Univ. Nevada Las Vegas) Occam- π(inmos- Univ. Kent UK) Guppy(Univ. Kent) Sire(Univ. Bristol UK) まとめ 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 2

3 参考にしたドキュメント J.B.Pedersen and M.L.Smith, ProcessJ: A possible Future of Process- Oriented Design, Proceedings of CPA2013 (CommunicaUon Process Architecture), pp , 2013 J.W.Hanlon, Scalable abstracuons for general purpose parallel computauon, Thesis for Ph.D, 2014, University of Bristol, UK 福永力 π- calculus と occam+π 第 11 回 CSP 研究会 東洋大学白山キャンパス May,25, 2013 Fred R.M.Barnes, Guppy, Slides presented at CPA2011(Limerick) and CPA2013(Edinburgh) 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 3

4 学部情報処理教育カリキュラムの提案 2013 by IEEE and ACM ( 松井様ご紹介 ) 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 4

5 情報教育入門コースへの提言 並列処理プログラミング言語教育の導入 ( その page.44) 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 5

6 ProcessJ (Pedersen Smith: CPA13) 新たな並列処理言語と開発環境の提言現代の MulU- core system での並列処理はどのようなモデルを基礎とすればよいか? Java の threads 利用の並列処理は共有メモリ方式をとる. この方式は並列処理という複雑な概念の上にさらに nondeterminisuc, race condiuons, 相互排除技術 (Mutex, threads- and- lock) の導入などの問題を持ち込むことになる. Lock 機構を導入することなく安全にプログラムできてかつその正当性が論証できる同期的メッセージ交換型な並列処理モデル CSP に基礎を置く process oriented な言語こそ MulU- core system での並列処理プログラムには理想的なものであろう. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 6

7 Occam- π 言語がシンプルで表記がオリジナルな CSP に近い しかし Occam は 1980s の言語で伸びしろが少ない. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 7

8 JCSP CSP base の JCSP(Java- CSP) だと共有メモリはいらない. しかし channel 入出力 PAR や ALT などのコンストラクタはメソッドで実装 channel 交信で送受される 2 つの変数はリファレンスを共有する 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 8

9 Process Oriented Language: ProcessJ 研究 教育さらに昨今の言語開発の動向を踏まえて新たな Process Oriented な並列処理言語 ProcessJ の提案 Java 風だが JCSP より表記はシンプル par とか alt のコンストラクタも言語のプリミティブとして組み込む. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 9

10 Proposed Project for ProcessJ 技術的な側面 CSP ベースの現代的な並列処理言語 残念ながら言語のより深い内容については前スライド以外不明 ( 言及なし ) コンパイラの開発 通常のコンパイル 実行形式の生成 FDR2 検証用スクリプト生成 ソース ProcessJ C++ 変換 JavaScript へ変換 (via C++ LLVM JavaScript 1) ) (in- browser プログラミング用 ) IDE( 統合開発環境 )/GUI グラフィックプログラム環境 runume システム online repository cloud storage( プロセス再利用や共有 ) target は x86 を ( おそらく ) 仮定. しかし他のアーキテクチャにも C++ や JavaScript から変換可能としている 教育的側面 Web を通じて Lecture notes exercises slides handouts の配布 本や Video 教材の作成 1) 既存の C++ LLVM(low level virtual machine) JavaScript 変換プログラムの利用 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 10

11 新しいコンピュータ言語のインフラ機構 著者たちの言い分 ; 現代の新言語の利用には以下のようなインフラを完備しないとならない ( のだそうだ ) 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 11

12 Occam- π の発展 (1990 年代以降 ~2005 年まで ) occam- π は occam+π と書くことも MOBILE and non- MOBILE barriers 並列プロセスの終了同期 MOBILE process types チャンネルを通したプロセスの送受信 MOBILE channel types チャンネルを通したチャンネルの送受信 MOBILE data types 動的データ移動 (reference data type) run- Ume sized MOBILE arrays Mobile data 動的配列サイズ run- Ume sized PAR replicators PAR 動的繰返し数設定 FORKed dynamic process creauon 動的プロセス生成 動的生成 (Forked 生成 ) プロセス間での共有メモリアクセス process priority support 32 段階プロセス優先度の割当て self- recursion for PROCs プロセスの再帰 (by Inmos 1988) data structure の組込み (by Inmos 1988) 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 12

13 Occam- π から Guppy(Barnes at CPA2011 & 2013) へ Occam- π の進展は止め 新言語にて遺産を引き継ぐ (Occam- π は所詮 Occam にネジ止め (bolt on) されたものだった ) CSP ベースの Occam- like な言語機能 ( コンストラクタ ) をもつ Zero aliasing semanucs の堅持 (chan 交換後の 2 変数は独立に存在 ) Indent ベースのブロック構造を維持 Python や Go もこの形で成功している (New) 標準 (default) 計算形態として SEQ( 逐次型 ) を設定 (New) 型の充実 ( カスタム化にも対応 構造体の導入 ) コンパイルコードの高速実行 run Ume 時の型検査や garbage collecuon は省略 CCSP ライブラリの利用 アーキテクチャ指定なしの言語 コンパイラは LLVM(low- level- virtual machine) 止まり 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 13

14 channel とプロトコル chanend 宣言 /channel 入出力 chan?(int) e chan!(int) d par d! 100 e? a mobile channel 独自 Protocol 指定 独自プロトコルの設定 ( より複雑な通信にも対応 ) 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 14

15 Mobile channel (channel を通した channel の転送 ) Bind により chanend 対を明示 高階度 (Higher order mobility)channel 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 15

16 コンストラクタ ( プリミティブ ) if, seq, (pri)par, (pri)alt, while, case は occam 仕様 Occam- π で実現させた Barrier も組み込む 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 16

17 実際のプログラム Dinning Philosopher より 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 17

18 Guppy の現状 : Occam- π からどこに行く? MOBILE and non- MOBILE barriers 並列プロセスの終了同期 MOBILE process types チャンネルを通したプロセスの送受信 MOBILE channel types チャンネルを通したチャンネルの送受信 MOBILE data types 動的データ移動 run- Ume sized MOBILE arrays Mobile data 動的配列サイズ run- Ume sized PAR replicators PAR 動的繰返し数設定 FORKed dynamic process creauon 動的プロセス生成 動的生成 (Forked 生成 ) プロセス間での共有メモリアクセス process priority support 32 段階プロセス優先度の割当て self- recursion for PROCs プロセスの再帰 (by Inmos 1988) data structure の組込み (by Inmos 1988) 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 18

19 Sire(Hanlon, Ph.D thesis, U.Bristol 2014) Sire とは XMOS の基本構造である XS1 のもとで 並列処理プログラム記述言語としての Sire コンパイラ 1. 字句解析 構文解析 2. 意味解析 3. プログラム成分 ( プロセス サーバ chanend) のリソース割当 4. 実行バイナリデータの生成 (Master と Slave の 2 種 ) Sire( サイァ ) とは bear とか create と同義 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 19

20 Sire プログラミング言語計算モデル Sire プログラムはプロセスの集まり (collecuon) からなる. 各プロセスはコマンドの並び (sequence) を実行していく. 相異なる 2 つのプロセス間で channel を通した一対一データ通信をすることができる.Channel 端を chanend と呼ぶ. プロセス間の通信を通して同期をとることができる ( メッセージ送出プロセスは相手がそれを受け取るまで実行を中断する ). server と呼ばれる特殊プロセスが導入できる. どのプロセスもこの server を一定のプロトコールの元で呼び出すことが可能. 通常 Sire プログラムは複数 (N) のプロセッサからなるシステム上で実行される. 1 つのプロセッサは複数のプロセスを同時実行できる 1). Sire プログラムは Master プロセッサから始まり複数のプロセスが時間とともに複数のプロセッサ (1..N- 1) に移行し そこで実行される. やがて Master プロセッサのメインプロセスを終了させることによりその処理が終了する. 1) ターゲットシステムとして XMOS XS1 を仮定している. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 20

21 Sire PrimiUve commands 代入文 (assignment) x := 2014 Input chan0? variab Output chan1! 1000 Connect connect chan0 to chan1 skip stop 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 21

22 Sire Structured command alt { les? v: out! v right? v: out! v } ガード付きもあり ; alt { enabl & les? v : out! v enabr & right? v : out! v } if e then C else D これは if { e: C ~e: D } とも書ける while e do C 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 22

23 Sire Process ComposiUon SequenUal( 逐次コマンド処理 )[ ; ] { a:=1 ; b:=10 ; c := a+b ; chan0! c } Parallel( 並列コマンド処理 ) [ & ] {a := 1 & b := 2 & ch0? s } interface interface(ch0):{a := 1; ch0! a } & interface(ch1):{b := 2; ch1! b } & interface(ch0,ch1):{ch0? s ; ch1? t ; c := s + t } 省略 (abbreviauon) を使って p is interface(chanend c): {connect c to q.c; c! 11} q is interface(chanend c): {var v: connect c to p.c; c? v } 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 23

24 Sire Server(Sire のユニークな機構 ) (Scope を同一にする ) どのプロセスからも呼ばれる特殊形態のプロセスを server と呼ぶ. 複数のクライエントプロセスから server を呼び出す (call) とは subrouune 呼び出しあるいは Remote(Local) Procedure Call を行っていることと同じ意味合いを持っている. 共有メモリ ( プロセッサの固有メモリ以上のメモリが必要な場合 ) や共有資源のアクセスを server に一元管理させるのに有効である. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 24

25 Sire Server(alt の利用 ) 複数プロセス間でデータを共有する server count() is interface(call inc(), dec()): { iniual c := 0 alt { accept inc(): c := c+1 accept dec(): c :=c - 1 }} ガードを立てる server count100() is interface(call inc(), dec()): { iniual c := 0 alt { c < 100 & accept inc(): c := c+1 c > 0 & accept dec(): c :=c- 1 }} 複数プロセス間で配列を共有する server Store() is interface(call read(val i, var v), write(val i, val v) ): { var[n] a : alt {accept read(val i, var v) : v := a[i] accept write(val i, val v): a[i] := v }} として s is Store(): (Store を s に名前付け ) s.write(10,23); s.write(11,30); s.read(10,u); s.read(11,v); w := u+v ;s.write(12,w) ; 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 25

26 実行モデル I (XMOS XS1 での動作を仮定 ) Sire プログラムの稼働するシステムは 0..N- 1 の付番されたプロセッサとそれらをつなぐネットワーク そして各プロセッサはそ れぞれメモリ chanend の集合 それに複数のプロセスを動かす機構 (threads;logical core) と 2 つの異なるプロセスにある chanends が channel 通信できるメカニズム (connect) がそなわっていることが必要. Sire プログラムのコンパイラは実行形式として Master( ユーザプログラムと run Ume kernel) Slave(run Ume kernel のみ ) の 2 種類のバイナリコードを生成する Master はプロセッサ 0 に Slave はプロセッサ 1..N- 1 に反復的に ロードされる. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 26

27 実行モデル II ( 実行開始と終了 ) 各プロセッサは kernel service process を開始する. 続いて Master にある Service process がユーザプログラムのメイン プロセスを新たなプロセスとして実行させることによりシステム が開始される. ユーザプログラムが開始されるとその成分である各プロセスが 開始される. しかしそれらは開始直前にあらかじめ割り当てられたプロセッサ ( のメモリ ) に移動され そのプロセッサでプロセス が実行されていく. すべてのアクティブプロセスが終了し流れがプロセッサ 0 にもどり メインプロセスが終了することによりシステム全体は停止する. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 27

28 コンパイル時のリソース割当 コンパイル時にプロセスやサーバなどのプログラム成分を抽出してターゲットシステムの枠組みの中でそれぞれの実行プロセッサを割り当てる. 現在プロセスの動的生成などはできない ( ように設定されている ) ので割当はなかば機械的に行われる. chanendの割当とそのリモートプロセッサでの相手側 chanendとの対応もコンパイル時に自動的に行われる. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 28

29 プログラムコードの動的分配 プログラムコンポーネント ( サーバー プロセスなど ) は run Ume 時に ( コンパイル時に割り当てられた ) 実行を担当するプロセッサに移行 (move) される. 実行が終了するとそのプロセッサのメモリからはずされる. 実行時の資源 ( メモリ ) の有効 ( 再 ) 利用 ( プロセッサは現在実行中のプロセスのみメモリにロード ) コンパイル時間の短縮 ; システムのプロセッサの数によらない実行形式の作成 (master と slave にすべて集約 ) バイナリデータ量の縮小 ; プロセッサ数によらない 素早いブーティング ;master のロードと slave の反復的なロード 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 29

30 Run Ume kernel プログラムは run Ume kernel も組み込まれてコンパイルされる. Run Ume kernel はユーザプログラムの実行にあたり自プロセッサに送られるプロセスを受け取って実行させたり そのプロセスの該当 chanend とそれを通じて更新する他のリモートプロセッサ上のプロセスの chanend をつなげたりする. Run Ume kernel には 2 種類あり以下の役割を担い そのため各プロセッサの Logical core(thread) を 1 つ専有 ( 占有 ) する ; Service kernel: リモートプロセッサとの交信 Program kernel: Service kernel を通じて得たプロセスの実行 コンパイラ出力の実行バイナリ形式の 1 つ Master は run Ume kernel+ ユーザプログラム Slave は run Ume kernel のみの実行形式が組み込まれる. Master は Xcore の processor 0 に 他の processor 1..N- 1 には Slave( どれも同じもの ) がロードされる. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 30

31 Master Slave メモリレイアウト 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 31

32 まとめ 学部教育初期段階より並列処理パラダイムの教育を実施したらどうか?(ACM&IEEE の提言 ; 2013) ProcessJ 言語そのものの詳細は不明だが CSP をその基盤に置こうとしている 言語の普及のための統合開発環境はどうあるべきかを議論 アーキテクチャに依存しない言語 コンパイラを模索 Guppy Barnes(occam- π 開発者の一人 ) のみでデザイン 開発が進められている. CSP に対して Occam- π よりはやや保守的 ( いまのところ ) アーキテクチャに依存しない言語 コンパイラを模索 Sire XMOS XS1 アーキテクチャを念頭に また純粋な CSP の枠組みでの言語を設計 しかし server 機能の導入で共有資源の一元管理など CSP を一歩踏み出してはいる XC を凌ぐものになるか 自動プロセッサ配分や Kernel などの でき が重要 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 32

33 Backup slides 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 33

34 Inmos Occam の問題点 1970 年代のプログラミング言語の概念で設計されている. インデントによる構造化プログラミング 構造が視覚的に捉えにくい データ有効範囲 ( スコープ ) の設定が煩雑 プロセスの再帰的呼び出しが出来ない. 配列のポインタ処理ができない. 複合型データ構造 (record あるいは structure) がない. 言語の要素 ( 配列 変数 チャンネル プロセスなど ) の動的取り扱いができない ( 実行時にサイズの変更 必要ない変数やチャンネルの抹消 プロセスの生成 ). チャンネル通信が一対一 多対一のみで一対多はできない. 並列プロセス数も動的取り扱いができない などなど. 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 34

35 Run Ume kernel 構造 29/11/2014 第 14 回 CSP 研究会 ( 東洋大学 ) 35

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

CSPの紹介

CSPの紹介 CSP モデルの優位性 産業技術総合研究所情報技術研究部門磯部祥尚 0:40 第 9 回 CSP 研究会 (2012 年 3 月 17 日 ) 1 講演内容 1. CSPモデルの特徴 CSPモデルとは? 同期型メッセージパッシング通信 イベント駆動 通信相手 ( チャネル ) の自動選択 3. CSPモデルの検証 CSPモデルの記述例 検証ツール 振舞いの等しさ 2. CSPモデルの実装 ライブラリ

More information

CSP Kick-Off 6th/Mar/2009 CSP -(1) Kazuto MATSUI

CSP Kick-Off 6th/Mar/2009 CSP -(1) Kazuto MATSUI CSP Kick-Off matsui@csp-consortium.org 6th/Mar/2009 CSP -(1) Kazuto MATSUI CSP Co-design CSP CSP -(1) Kazuto MATSUI 1 CSP 1. CSP (a) (b) 2. CSP (a) i. DFD/FSM/UML2.0/SysML/EAST-ADL 2.0/AADL/... ii. {Z(CSP-Z)/Oblect-Z(CSP-OZ)/TCOZ/B(B+CSP)/VDM(RAISE)

More information

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a MATLAB コードを使用した C コードの生成クイックスタートガイド (R2016a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには [ ビルド ] を [ ソースコード ] [ スタティックライブラリ ] [ ダイナミックライブラリ ] または [ 実行ファイル ] のいずれかに切り替えます MATLAB Coder を使用することで MATLAB コードから

More information

スクールCOBOL2002

スクールCOBOL2002 (h) 登録集原文の指定方法 . 登録集原文の指定方法 複数の COBOL プログラムに共通の記述を別のソースファイルとしておき COPY 文で取り込むことができます 登録集原文の概念図を下欄に示します このようにすると コーディング量を削減でき 記述ミスもなくなるため 開発効率を高めることができます ここでは 第 章で実習した reidai.cbl というソースファイルの DATA0 と YYMMDD

More information

目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い 10.Netフレームワーク 10 開発資料 10 第 2 章 Mono 11 Monoの歴史 1

目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い 10.Netフレームワーク 10 開発資料 10 第 2 章 Mono 11 Monoの歴史 1 ポリテクセンター埼玉セミナー資料 組込み技術者のための C# Monoを用いたマルチプラットフォームアプリケーション開発技術 第 1.2 版 2018 年 8 月 Microbrains Inc. 渋谷 目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 3 4 週 プログラミング言語の形式的な記述 2014 年 4 月 23 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

第 2 章インタフェース定義言語 (IDL) IDL とは 言語や OS に依存しないインタフェース定義を行うためのインタフェース定義言語です CORBA アプリケーションを作成する場合は インタフェースを定義した IDL ファイルを作成する必要があります ここでは IDL の文法や IDL ファイ

第 2 章インタフェース定義言語 (IDL) IDL とは 言語や OS に依存しないインタフェース定義を行うためのインタフェース定義言語です CORBA アプリケーションを作成する場合は インタフェースを定義した IDL ファイルを作成する必要があります ここでは IDL の文法や IDL ファイ 第 2 章インタフェース定義言語 (IDL) IDL とは 言語や OS に依存しないインタフェース定義を行うためのインタフェース定義言語です CORBA アプリケーションを作成する場合は インタフェースを定義した IDL ファイルを作成する必要があります ここでは IDL の文法や IDL ファイルの作成方法 コンパイル方法について説明します IDL ファイルの作成にあたっては INTERSTAGE

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n を入力してもらい その後 1 から n までの全ての整数の合計 sum を計算し 最後にその sum

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

NUMAの構成

NUMAの構成 メッセージパッシング プログラミング 天野 共有メモリ対メッセージパッシング 共有メモリモデル 共有変数を用いた単純な記述自動並列化コンパイラ簡単なディレクティブによる並列化 :OpenMP メッセージパッシング 形式検証が可能 ( ブロッキング ) 副作用がない ( 共有変数は副作用そのもの ) コストが小さい メッセージパッシングモデル 共有変数は使わない 共有メモリがないマシンでも実装可能 クラスタ

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ MATLAB コードを使用した C コードの生成クイックスタートガイド (R2012a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには MATLAB Coder のペインを [ ビルド ] に切り替えて [C/C++ スタティックライブラリ ] [C/C++ ダイナミックライブラリ ] または [C/C++ 実行ファイル ] のいずれかを選択しま MATLAB Coder

More information

Taro-リストⅠ(公開版).jtd

Taro-リストⅠ(公開版).jtd 0. 目次 1. 再帰的なデータ構造によるリストの表現 1. 1 リストの作成と表示 1. 1. 1 リストの先頭に追加する方法 1. 1. 2 リストの末尾に追加する方法 1. 1. 3 昇順を保存してリストに追加する方法 1. 2 問題 問題 1 問題 2-1 - 1. 再帰的なデータ構造によるリストの表現 リストは データの一部に次のデータの記憶場所を示す情報 ( ポインタという ) を持つ構造をいう

More information

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド メソッド ( 教科書第 7 章 p.221~p.239) ここまでには文字列を表示する System.out.print() やキーボードから整数を入力する stdin.nextint() などを用いてプログラムを作成してきた これらはメソッドと呼ばれるプログラムを構成する部品である メソッドとは Java や C++ などのオブジェクト指向プログラミング言語で利用されている概念であり 他の言語での関数やサブルーチンに相当するが

More information

メディプロ1 Javaプログラミング補足資料.ppt

メディプロ1 Javaプログラミング補足資料.ppt メディアプロジェクト演習 1 Javaプログラミング補足資料 l Javaとは l JavaScript と Java 言語の違い l オブジェクト指向 l コンストラクタ l 継承 抽象クラス 本資料内のページ番号は, 以下の参考書のページを引用している高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに l プログラミング言語とは? l オブジェクト指向とは?

More information

Javaの作成の前に

Javaの作成の前に メディアプロジェクト演習 1 参考資料 Javaとは JavaScript と Java 言語の違い オブジェクト指向 コンストラクタ サーブレット 本資料内のページ番号は, 以下の参考書のページを引用している 高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに プログラミング言語とは? オブジェクト指向とは? Java 言語とは? JavaとJavaScriptの違いとは?

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 2 第 8 回表形式データ (1) 1 テーマ : 表形式データ (1) 配列と複合データを用いた表形式データ データの登録 データの検索 データの更新 実際的はソフトウェアでは 表形式データの ( 例えば データベースのデータ ) を利用する場面が非常に多く とても重要である そこで 表形式を扱うプログラミングを繰り返しとりあげる 2 テーマ : 表形式データ (1) 配列と複合データを用いた表形式データ

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

Microsoft PowerPoint - OpenMP入門.pptx

Microsoft PowerPoint - OpenMP入門.pptx OpenMP 入門 須田礼仁 2009/10/30 初版 OpenMP 共有メモリ並列処理の標準化 API http://openmp.org/ 最新版は 30 3.0 バージョンによる違いはあまり大きくない サポートしているバージョンはともかく csp で動きます gcc も対応しています やっぱり SPMD Single Program Multiple Data プログラム #pragma omp

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

Microsoft PowerPoint - prog03.ppt

Microsoft PowerPoint - prog03.ppt プログラミング言語 3 第 03 回 (2007 年 10 月 08 日 ) 1 今日の配布物 片面の用紙 1 枚 今日の課題が書かれています 本日の出欠を兼ねています 2/33 今日やること http://www.tnlab.ice.uec.ac.jp/~s-okubo/class/java06/ にアクセスすると 教材があります 2007 年 10 月 08 日分と書いてある部分が 本日の教材です

More information

言語プロセッサ2005

言語プロセッサ2005 url: kameken.clique.jp/lectures/lectures2014/compiler2014/ 言語プロセッサ 2014 Language Processors 2014 平成 26 年 9 月 22 日 ( 月 ) 東京工科大学コンピュータサイエンス学部亀田弘之 まずはイントロから なぜ言語プロセッサを学ぶのか? (Why do we study a course 言語プロセッサ?)

More information

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~ .NET プログラマー早期育成ドリル VB 編 付録文法早見表 本資料は UUM01W:.NET プログラマー早期育成ドリル VB 編コードリーディング もしくは UUM02W:.NET プログラマー早期育成ドリル VB 編コードライティング を ご購入頂いた方にのみ提供される資料です 資料内容の転載はご遠慮下さい VB プログラミング文法早見表 < 基本文法 > 名前空間の定義 Namespace

More information

JEB Plugin 開発チュートリアル 第4回

JEB Plugin 開発チュートリアル 第4回 Japan Computer Emergency Response Team Coordination Center 電子署名者 : Japan Computer Emergency Response Team Coordination Center DN : c=jp, st=tokyo, l=chiyoda-ku, email=office@jpcert.or.jp, o=japan Computer

More information

Total View Debugger 利用の手引 東京工業大学学術国際情報センター version 1.0

Total View Debugger 利用の手引 東京工業大学学術国際情報センター version 1.0 Total View Debugger 利用の手引 東京工業大学学術国際情報センター 2015.04 version 1.0 目次 Total View Debugger 利用の手引き 1 1. はじめに 1 1.1 利用できるバージョン 1 1.2 概要 1 1.3 マニュアル 1 2. TSUBAME での利用方法 2 2.1 Total View Debugger の起動 2 (1) TSUBAMEにログイン

More information

Program Design (プログラム設計)

Program Design  (プログラム設計) 7. モジュール化設計 内容 : モジュールの定義モジュールの強度又は結合力モジュール連結モジュールの間の交信 7.1 モジュールの定義 プログラムモジュールとは 次の特徴を持つプログラムの単位である モジュールは 一定の機能を提供する 例えば 入力によって ある出力を出す モジュールは 同じ機能仕様を実装しているほかのモジュールに置き換えられる この変化によって プログラム全体に影響をあまり与えない

More information

kiso2-09.key

kiso2-09.key 座席指定はありません 計算機基礎実習II 2018 のウェブページか 第9回 ら 以下の課題に自力で取り組んで下さい 計算機基礎実習II 第7回の復習課題(rev07) 第9回の基本課題(base09) 第8回試験の結果 中間試験に関するコメント コンパイルできない不完全なプログラムなど プログラミングに慣れていない あるいは複雑な問題は 要件 をバラして段階的にプログラムを作成する exam08-2.c

More information

Microsoft Word - Javacc.docx

Microsoft Word - Javacc.docx JavaCC 実習レポート課題について以下の実習のために コンパイラのページ http://www.info.kindai.ac.jp/compiler/ から javacc.zip をダウンロードしてください javacc.zip は以下のファイルから成ります javacc/ sample0.k, sample1.k, samplell2.k : k 言語の例プログラム sample0.asm,

More information

HW-Slides-03.ppt

HW-Slides-03.ppt ハードウェア実験 組み込みシステム入門第 3 回 2012 年 10 月 4 日 XC の文法 / スイッチを読む まず 最初のプロジェクト! tutorial( 入門学習 ) の Cheat Sheet を開き [Flash an LED while cycling ] をクリック! [Start working on this task] をクリックする! Tutorial 教材の P6~P7

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 2 第 6 回継承 コンストラクタ 1 講義資料について 新しい言語の機能 ( オブジェクト指向の機構 ) については 随時参考書などを参照するのがよい 過去の資料も参考になる http://java2005.cis.k.hosei.ac.jp/ 今回の範囲は 上記ページの 17 回に詳しい 2 テーマ : 継承 コンストラクタ 継承 (inheritance) インスタンス変数の継承

More information

並列計算導入.pptx

並列計算導入.pptx 並列計算の基礎 MPI を用いた並列計算 並列計算の環境 並列計算 複数の計算ユニット(PU, ore, Pなど を使用して 一つの問題 計算 を行わせる 近年 並列計算を手軽に使用できる環境が急速に整いつつある >通常のP PU(entral Processing Unit)上に計算装置であるoreが 複数含まれている Intel ore i7 シリーズ: 4つの計算装置(ore) 通常のプログラム

More information

Notesアプリが iPadで動くDomino Mobile Apps ご紹介

Notesアプリが iPadで動くDomino Mobile Apps ご紹介 Notes アプリが ipad で動く Domino Mobile Apps ご紹介 Copyright 2019 HCL Technologies Limited www.hcltechsw.com Domino Mobile Apps のご紹介 Domino Mobile Apps とは? Domino サーバー アプリケーション XPages 既存の Notes アプリ (nsf) を そのまま実行する

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

書式に示すように表示したい文字列をダブルクォーテーション (") の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf(" 情報処理基礎 "); printf("c 言語の練習 "); printf

書式に示すように表示したい文字列をダブルクォーテーション () の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf( 情報処理基礎 ); printf(c 言語の練習 ); printf 情報処理基礎 C 言語についてプログラミング言語は 1950 年以前の機械語 アセンブリ言語 ( アセンブラ ) の開発を始めとして 現在までに非常に多くの言語が開発 発表された 情報処理基礎で習う C 言語は 1972 年にアメリカの AT&T ベル研究所でオペレーションシステムである UNIX を作成するために開発された C 言語は現在使われている多数のプログラミング言語に大きな影響を与えている

More information

POSIXスレッド

POSIXスレッド POSIX スレッド (3) システムプログラミング 2011 年 11 月 7 日 建部修見 同期の戦略 単一大域ロック スレッドセーフ関数 構造的コードロッキング 構造的データロッキング ロックとモジュラリティ デッドロック 単一大域ロック (single global lock) 単一のアプリケーションワイドの mutex スレッドが実行するときに獲得, ブロックする前にリリース どのタイミングでも一つのスレッドが共有データをアクセスする

More information

<91E63389F1>

<91E63389F1> ハードウェア実験 組み込みシステム入門第 3 回 2010 年 10 月 7 日 XC の文法 / スイッチを読む 前回予告した今日の内容 次回は 別のサンプルプログラム ( 二つ ) を実行させて 動作させながら XC のプログラム言語の文法的な説明を行います while, select/case, if/else などの XC の文法を学びます LED への出力だけではなく ボタンの入力を学びます

More information

4-4- 基スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語を学習し アプリケーション開発の手法を習得する 本カリキュラムでは まずスクリプト言語に位置づけされる Perl PHP Python JavaScript Ruby といった Ⅰ. 概要プログラミング言語の特徴に

4-4- 基スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語を学習し アプリケーション開発の手法を習得する 本カリキュラムでは まずスクリプト言語に位置づけされる Perl PHP Python JavaScript Ruby といった Ⅰ. 概要プログラミング言語の特徴に 4-4- 基スクリプト言語に関する知識 1 4-4- 基スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語を学習し アプリケーション開発の手法を習得する 本カリキュラムでは まずスクリプト言語に位置づけされる Perl PHP Python JavaScript Ruby といった Ⅰ. 概要プログラミング言語の特徴について解説し 動作環境の構築や基本的な構文について習得する

More information

Java講座

Java講座 ~ 第 1 回 ~ 情報科学部コンピュータ科学科 2 年竹中優 プログラムを書く上で Hello world 基礎事項 演算子 構文 2 コメントアウト (//, /* */, /** */) をしよう! インデントをしよう! 変数などにはわかりやすい名前をつけよう! 要するに 他人が見て理解しやすいコードを書こうということです 3 1. Eclipse を起動 2. ファイル 新規 javaプロジェクト

More information

概要 プログラミング論 変数のスコープ, 記憶クラス. メモリ動的確保. 変数のスコープ 重要. おそらく簡単. 記憶クラス 自動変数 (auto) と静的変数 (static). スコープほどではないが重要.

概要 プログラミング論 変数のスコープ, 記憶クラス. メモリ動的確保. 変数のスコープ 重要. おそらく簡単. 記憶クラス 自動変数 (auto) と静的変数 (static). スコープほどではないが重要. 概要 プログラミング論 変数のスコープ, 記憶クラス. メモリ動的確保. 変数のスコープ 重要. おそらく簡単. 記憶クラス 自動変数 (auto) と静的変数 (static). スコープほどではないが重要. http://www.ns.kogakuin.ac.jp/~ct13140/progc/ C-2 ブロック 変数のスコープ C 言語では, から をブロックという. for( ) if( )

More information

@ LL Future 2008/08/30 MORITA Hajime

@ LL Future 2008/08/30 MORITA Hajime @ LL Future 2008/08/30 MORITA Hajime http://steps.dodgson.org/ 自己紹介 : 森田創 本業雑用系 C++ プログラマなぜここに... 今日の立ち位置 ActionScript VM Tamarin 擁護派 ( という設定 ) ActionScript はよくわからないけど Tamarin は C++ なので安心

More information

第5回 マインクラフト・プログラミング入門

第5回 マインクラフト・プログラミング入門 マインクラフト プログラミング応用 第 2 回はじめてのプラグイン はじめての JavaScript はじめてのプラグイン 2018.01.22 鎌倉シチズンネット (KCN) 2017-2017 Kamakura Citizens Net All rights reserved 1 はじめての JavaScript(0) JavaScript とは JavaScript はスクリプト言語と呼ばれるプログラミング言語の一種で

More information

Microsoft PowerPoint - prog03.ppt

Microsoft PowerPoint - prog03.ppt プログラミング言語 2 第 03 回 (2007 年 05 月 07 日 ) 今日の配布物 片面の用紙 1 枚 今日の課題が書かれています 本日の出欠を兼ねています 1 今日やること hp://www.nlab.ice.uec.ac.jp/~s-okubo/class/language/ にアクセスすると 教材があります 2007 年 05 月 07 日分と書いてある部分が 本日の教材です 本日の内容

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン (2), コード生成 http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1204.pdf ( 訂正版 ) 1 概要 仮想マシン 概要 ( 復習 ) 制御命令 出力命令 コード生成 式のコード生成 文 文の列のコード生成 記号表 2 演習で作るコンパイラの例 test.hcc Int main() { int i j; i = 3;

More information

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1

COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 COMET II のプログラミング ここでは機械語レベルプログラミングを学びます 1 ここでは機械命令レベルプログラミングを学びます 機械命令の形式は学びましたね機械命令を並べたプログラムを作ります 2 その前に プログラミング言語について 4 プログラミング言語について 高級言語 (Java とか C とか ) と機械命令レベルの言語 ( アセンブリ言語 ) があります 5 プログラミング言語について

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション プログラマー勉強会 1 回 basic.h 補足 [ 修飾子 ] const 付けた変数は初期化以外で値を設定することができなくなる 定数宣言に使う unsigned 付けた変数は符号がなくなり 正の値しか設定できない [ 条件コンパイル ] #ifdef M ここ以前に M がマクロとして定義されていれば ここ以下をコンパイルする #ifndef M ここ以前に M というマクロが定義されていなければ

More information

Microsoft Word - openmp-txt.doc

Microsoft Word - openmp-txt.doc ( 付録 A) OpenMP チュートリアル OepnMP は 共有メモリマルチプロセッサ上のマルチスレッドプログラミングのための API です 本稿では OpenMP の簡単な解説とともにプログラム例をつかって説明します 詳しくは OpenMP の規約を決めている OpenMP ARB の http://www.openmp.org/ にある仕様書を参照してください 日本語訳は http://www.hpcc.jp/omni/spec.ja/

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LAN 1. LAN,. NAT,., LAN. NTMobile Network Traversal with Mobilty [1]. NTMobile. OS TUN/TAP, LAN. 2. NTMobile NTMobile NAT, IPv4/IPv6,,. NTMobile. DC Direction Coordinator. NTMobile. DC,. NTMobile NTMfw.

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

平成17年度大学院 知識システム特論

平成17年度大学院 知識システム特論 LinkedOpenData Linked Open Data の普及 Web 上で公開され, 相互に連結し合っている RDF データ これまで多く研究されてきた抽象的な概念構造が現実的な有用性を生むには依然高いハードルがある 具体物であるインスタンスの記述をした RDF(Linked Open Data) のデータベースを公開 共有し合うべきという風潮が高まっている 2007 年 5 月 2008

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

PGRelief C/C++ 強化ポイント説明書

PGRelief C/C++ 強化ポイント説明書 PGRelief C/C++ 強化ポイント説明書 1. 最新バージョンの強化ポイント (2017autumn 2018) 1) CERT Cコーディングスタンダードの適合性チェックを追加 CERTオプションの購入が必要 2) 指摘メッセージを16 個追加 ( うち15 個はCERTオプション用 ) 3) Visual C++ 2015 の資産に対応 2. 過去バージョンの強化ポイント 2.1. 強化ポイント

More information

memo

memo 数理情報工学演習第一 C プログラミング演習 ( 第 5 回 ) 2015/05/11 DEPARTMENT OF MATHEMATICAL INFORMATICS 1 今日の内容 : プロトタイプ宣言 ヘッダーファイル, プログラムの分割 課題 : 疎行列 2 プロトタイプ宣言 3 C 言語では, 関数や変数は使用する前 ( ソースの上のほう ) に定義されている必要がある. double sub(int

More information

program7app.ppt

program7app.ppt プログラム理論と言語第 7 回 ポインタと配列, 高階関数, まとめ 有村博紀 吉岡真治 公開スライド PDF( 情報知識ネットワーク研 HP/ 授業 ) http://www-ikn.ist.hokudai.ac.jp/~arim/pub/proriron/ 本スライドは,2015 北海道大学吉岡真治 プログラム理論と言語, に基づいて, 現著者の承諾のもとに, 改訂者 ( 有村 ) が加筆修正しています.

More information

Microsoft PowerPoint - Lecture_3

Microsoft PowerPoint - Lecture_3 プログラミング III 第 3 回 : サーブレットリクエスト & サーブレットレスポンス処理入門 Ivan Tanev 講義の構造 1. サーブレットの構造 2. サーブレットリクエスト サーブレットレスポンスとは 3. 演習 2 Lecture2_Form.htm 第 2 回のまとめ Web サーバ Web 1 フォーム static 2 Internet サーブレ4 HTML 5 ットテキスト

More information

1013  動的解析によるBOTコマンドの自動抽出

1013  動的解析によるBOTコマンドの自動抽出 動的解析による BOT コマンドの 自動抽出 Malware Workshop 2008 2008 年 10 月 10 日株式会社セキュアブレイン星澤裕二 岡田晃市郎 太刀川剛 背景と目的 背景 大量発生している BOT の感染を未然に防いだり 感染してしまった場合に被害を最小限に抑えたりするために BOT の挙動を短時間で知ることが重要 目的 短時間で BOT のすべての挙動を知りたい 感染活動だけでなく

More information

スライド 1

スライド 1 レガシーシステムを刷新するモダナイゼーションの効果的 / 効率的なアプローチについて 自動マイグレーション サービス i Renaissance のご紹介 自動マイグレーション サービス i Renaissance とは i RenaissanceはRPG/COBOL/CLから 元言語に寄せたJavaへの自動変換 サービスを提供します i Renaissanceは下記の3つフェーズから構成されます

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 部内向けスキルアップ研修 組込み OS 自作入門 2014 年 2 月 10st ステップ担当 : 中村 目次 はじめに OSの役割 メモリ管理 メモリ管理実装 プログラムの実行 まとめ はじめに 前回やったこと OS の原型を作成 今回やること 9th ステップでは CPU 時間 という資源管理 本ステップでは メモリ という資源管理 10.1 OS の役割 10.1.1 コンピュータの 3 大要素

More information

Formal Engineering Methods for Software Development --An Introduction to SOFL--

Formal Engineering Methods for Software Development --An Introduction to SOFL-- 13~15. 形式設計仕様に基づく Java プログラムの作成と Suica カード システムの small プロジェクト 6 ー実装 目標 : 1.SOFL で作成した形式設計仕様に基づ き その仕様を実現した Java プログラムを作成す る 作成方法 : 次の 3 レベルのものから Java プログラムを作成する (a) モジュールから Java プログラムクラスの作成 つまり 一つの SOFL

More information

Oracle SALTを使用してTuxedoサービスをSOAP Webサービスとして公開する方法

Oracle SALTを使用してTuxedoサービスをSOAP Webサービスとして公開する方法 Oracle SALT を使用して Tuxedo サービスを SOAP Web サービスとして公開する方法 概要 このドキュメントは Oracle Service Architecture Leveraging Tuxedo(Oracle SALT) のユースケースをほんの数分で実装できるように作成されています Oracle SALT を使用すると プロジェクトをゼロからブートストラップし 既存のプロジェクトに

More information

プレポスト【問題】

プレポスト【問題】 コース名 : サーブレット /JSP/JDBC プログラミング ~Eclipse による開発 ~ 受講日 氏名 1 JDBC の説明として 間違っているものを 1 つ選びなさい 1. JDBC を使用してデータベースへアクセスするときには JDBC API が必要である 2. JDBC API は java.lang パッケージとして提供されている 3. JDBC には JDBC API JDBC

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

XMP structure: 1

XMP structure: 1 WPS Python プロシジャユーザーガイドとリファレンス バージョン : 4.1.2 Copyright 2002-2019 World Programming Limited www.worldprogramming.com 目次 はじめに... 3 セットアップと設定...4 WPS で Python を使用する...5 PROC PYTHON...6 EXPORT... 6 IMPORT...7

More information

XNA Framework

XNA Framework XNA Framework 2.0 M@STER SESSION 01 XNA Framework の技術的背景 システム基盤は.NET Framework マネージ環境で実行 クロスプラットフォーム Windows, Xbox360, Zune DirectX, MDX から独立している 開発 実行環境の構造 XNA Game Studio (Visual Studio 2005) XNA Framework

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 1 第 9 回 メソッド (3) 授業の前に自己点検 以下の質問に答えられますか? メソッドの宣言とは 起動とは何ですか メソッドの宣言はどのように書きますか メソッドの宣言はどこに置きますか メソッドの起動はどのようにしますか メソッドの仮引数 実引数 戻り値とは何ですか メソッドの起動にあたって実引数はどのようにして仮引数に渡されますか 戻り値はどのように利用しますか 変数のスコープとは何ですか

More information

Microsoft PowerPoint - IntroAlgDs-05-4.ppt

Microsoft PowerPoint - IntroAlgDs-05-4.ppt アルゴリズムとデータ構造入門 2005 年 0 月 25 日 アルゴリズムとデータ構造入門. 手続きによる抽象の構築.2 Procedures and the Processes They generate ( 手続きとそれが生成するプロセス ) 奥乃 博. TUT Scheme が公開されました. Windows は動きます. Linux, Cygwin も動きます. 0 月 25 日 本日のメニュー.2.

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 1 第 5 回 繰り返し (while ループ ) 授業開始前に ログオン後 不要なファイルを削除し て待機してください Java 1 第 5 回 2 参考書について 参考書は自分にあったものをぜひ手元において自習してください 授業の WEB 教材は勉強の入り口へみなさんを案内するのが目的でつくられている これで十分という訳ではない 第 1 回に紹介した本以外にも良書がたくさんある

More information

CPUスケジューリング

CPUスケジューリング 5-6 プロセス管理と CPU スケジューリング 1 多重プログラミングの概念 CPU を無駄なく使いたい ジョブ A ジョブ B 開始遊休状態 : 入力 開始遊休状態 : 入力 遊休状態 : 入力 遊休状態 : 入力 停止 停止 図 4.1 二つの上部 A,B の実行 2 多重プログラミングの概念 ジョブ A 開始遊休状態 : 入力 遊休状態 : 入力 停止 ジョブ B 待ち 開始遊休状態 : 入力

More information

COBOL Standard Edition COBOL SQL アクセスのご紹介 2017 年 3 本電気株式会社 次 COBOL SQLアクセスとは P.4 COBOL85 SQLEXTENSIONからの移 P.10 製品情報 P.13 COBOL SQL アクセスとは 製品概要 COBOL ソース中の埋め込み SQL によるデータベースアクセスが可能に 業界標準 ODBC(Open DataBase

More information

Microsoft PowerPoint pptx[読み取り専用]

Microsoft PowerPoint pptx[読み取り専用] 情報処理 Ⅱ 第 8 回 2009 年 12 月 7 日 ( 月 ) 本日学ぶこと 関数と変数 目的 関数を自分で定義し, 変数の利用方法 範囲を明示的に制限することで, 適切な機能分割 ( モジュール化, 再利用 ) を図る. してはいけないこと 問題 main 関数のみで 100 行以上のプログラム グローバル変数を駆使するプログラム プログラムを読みやすくする 保守性向上 多項式関数 f(x)

More information

Int Int 29 print Int fmt tostring 2 2 [19] ML ML [19] ML Emacs Standard ML M M ::= x c λx.m M M let x = M in M end (M) x c λx.

Int Int 29 print Int fmt tostring 2 2 [19] ML ML [19] ML Emacs Standard ML M M ::= x c λx.m M M let x = M in M end (M) x c λx. 1, 2 1 m110057@shibaura-it.ac.jp 2 sasano@sic.shibaura-it.ac.jp Eclipse Visual Studio ML Standard ML Emacs 1 ( IDE ) IDE C C++ Java IDE IDE IDE IDE Eclipse Java IDE Java Standard ML 1 print (Int. 1 Int

More information

Microsoft PowerPoint - 09.pptx

Microsoft PowerPoint - 09.pptx 情報処理 Ⅱ 第 9 回 2014 年 12 月 22 日 ( 月 ) 関数とは なぜ関数 関数の分類 自作関数 : 自分で定義する. ユーザ関数 ユーザ定義関数 などともいう. 本日のテーマ ライブラリ関数 : 出来合いのもの.printf など. なぜ関数を定義するのか? 処理を共通化 ( 一般化 ) する プログラムの見通しをよくする 機能分割 ( モジュール化, 再利用 ) 責任 ( あるいは不具合の発生源

More information

Microsoft PowerPoint - sakurada3.pptx

Microsoft PowerPoint - sakurada3.pptx チュートリアル :ProVerif による結合可能安全性の形式検証 櫻田英樹日本電信電話株式会社 NTT コミュニケーション科学基礎研究所 アウトライン 前半 :ProVerif の紹介 後半 :ProVerifを用いた結合可能安全性証明 [Dahl Damgård, EuroCrypt2014, eprint2013/296] の記号検証パート 2 ProVerif フランス国立情報学自動制御研究所

More information

OpenFOAM 勉強会 C++ プログラム相談 のご案内 オープン CAE シンポジウム 2012 金田誠 (OpenFOAM 勉強会 for 関東 ) 1

OpenFOAM 勉強会 C++ プログラム相談 のご案内 オープン CAE シンポジウム 2012 金田誠 (OpenFOAM 勉強会 for 関東 ) 1 OpenFOAM 勉強会 C++ プログラム相談 のご案内 2012.12.15 オープン CAE シンポジウム 2012 金田誠 (OpenFOAM 勉強会 for beginner@ 関東 ) 1 C++ 学習法 C++ プログラマを目指す人 OpenFOAMの解析者 学習法が同じであって良いはずがない 解析者は C++ ばかりに時間を割いていられない 2 本を紹介して と言われると悩む Effective

More information

Microsoft PowerPoint - erlang-parallel100216

Microsoft PowerPoint - erlang-parallel100216 Erlangの並列計算の入り口 2007/OCT/23 2010/FEB/16 たけおか Erlan はコミッティド チョイス言語だ 2010/FEB/16 追記 コミッティド チョイス言語 節の頭にガードがある ガードを超えた節だけが 選ばれて走行する Erlan では ガード部分が パターン マッチングになっている 多くのプロセスが チャンネル通信する Erlang は Occam 言語とそっくり

More information

15288解説_D.pptx

15288解説_D.pptx ISO/IEC 15288:2015 テクニカルプロセス解説 2015/8/26 システムビューロ システムライフサイクル 2 テクニカルプロセス a) Business or mission analysis process b) Stakeholder needs and requirements definieon process c) System requirements definieon

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 5 月 Java 基礎 1 タイトル Java 基礎 2 日間 概要 目的 サーバサイドのプログラミング言語で最もシェアの高い Java SE の基本を習得します 当研修ではひとつの技術ごとに実用的なアプリケーションを作成するため 効果的な学習ができます Java SE の多くの API の中で 仕事でよく利用するものを中心に効率よく学びます 実際の業務で最も利用される開発環境である Eclipse

More information

デザインパターン第一章「生成《

デザインパターン第一章「生成《 変化に強いプログラミング ~ デザインパターン第一章 生成 ~ 梅林 ( 高田明宏 )@ わんくま同盟 デザインパターンとは何か (1) デザインパターンの定義 ソフトウェア開発におけるデザインパターンとは 過去のソフトウェア設計者が発見し編み出した設計ノウハウを蓄積し 名前をつけ 再利用しやすいように特定の規約に従ってカタログ化したもの (Wikipedia) 参考書籍 オブジェクト指向における再利用のためのデザインパターン

More information

XMLとXSLT

XMLとXSLT XML と XSLT 棚橋沙弥香 目次 現場のシステム構成とXML/XSLの位置づけ XMLとは XSL/XSLTとは Xalanのインストール いろいろなXSL XMLマスター試験の紹介 現場のシステム構成 HTML 画面上のデータ 電文 電文 外部 WEB サーバー (Java) CORBA 通信 認証サーバー (C 言語 ) DB XML 電文 HTML XSL XSLT 変換今回の説明範囲

More information

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx シーケンスに基づく検索モデルの検索精度について 東京工芸大学工学部コンピュータ応用学科宇田川佳久 (1/3) (2/3) 要員数 情報システム開発のイメージソースコード検索機能 他人が作ったプログラムを保守する必要がある 実務面での応用 1 バグあるいは脆弱なコードを探す ( 品質の高いシステムを開発する ) 2 プログラム理解を支援する ( 第 3 者が書いたコードを保守する ) 要件定義外部設計内部設計

More information

Java Scriptプログラミング入門 3.6~ 茨城大学工学部情報工学科 08T4018Y 小幡智裕

Java Scriptプログラミング入門 3.6~ 茨城大学工学部情報工学科 08T4018Y  小幡智裕 Java Script プログラミング入門 3-6~3-7 茨城大学工学部情報工学科 08T4018Y 小幡智裕 3-6 組み込み関数 組み込み関数とは JavaScript の内部にあらかじめ用意されている関数のこと ユーザ定義の関数と同様に 関数名のみで呼び出すことができる 3-6-1 文字列を式として評価する関数 eval() 関数 引数 : string 式として評価する文字列 戻り値 :

More information

Microsoft PowerPoint L07-Imperative Programming Languages-4-students ( )

Microsoft PowerPoint L07-Imperative Programming Languages-4-students ( ) プログラミング言語論 A (Concepts on Programming Languages) 趙建軍 (Jianjun Zhao) 1 第 7 回 命令型言語 (4) (Imperative Programming Languages) 手続き ( 関数 ) の呼び出し 2019.05.30 2 1 今日の講義 手続きとは 手続きの定義 引数渡し スコープ規則 3 今日の講義 手続きとは 手続きの定義

More information

情報処理 Ⅱ 2007 年 11 月 26 日 ( 月 )

情報処理 Ⅱ 2007 年 11 月 26 日 ( 月 ) 情報処理 Ⅱ 2007 年 11 月 26 日 ( 月 ) 本日学ぶこと 関数と変数 目的 関数を自分で定義し, 変数の利用方法 範囲を明示的に制限することで, 適切な機能分割 ( モジュール化, 再利用 ) を図る. してはいけないこと main 関数のみで 100 行以上のプログラム グローバル変数を駆使するプログラム プログラムを読みやすくする 保守性向上 入 p.109 2 関数 (Function)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ようこそ COBOL へ! 2018/08/17 伊東 輝 COBOL とは? 1959 年に事務処理用に開発された手続き型言語であり ソースコードの記述内容を上から順番に実行する言語である 約 60 年前から存在する言語でありながら 未だに基本情報処理技術者の午後試験に出題され 金融系システム等のレガシーシステムでは現在も COBOL のプログラムが稼働している 今回は COBOL のコーディングの基礎を発表する

More information

Microsoft PowerPoint - 計算機言語 第7回.ppt

Microsoft PowerPoint - 計算機言語 第7回.ppt 計算機言語第 7 回 長宗高樹 目的 関数について理解する. 入力 X 関数 f 出力 Y Y=f(X) 関数の例 関数の型 #include int tasu(int a, int b); main(void) int x1, x2, y; x1 = 2; x2 = 3; y = tasu(x1,x2); 実引数 printf( %d + %d = %d, x1, x2, y);

More information

C#の基本

C#の基本 C# の基本 ~ 開発環境の使い方 ~ C# とは プログラミング言語のひとつであり C C++ Java 等に並ぶ代表的な言語の一つである 容易に GUI( グラフィックやボタンとの連携ができる ) プログラミングが可能である メモリ管理等の煩雑な操作が必要なく 比較的初心者向きの言語である C# の利点 C C++ に比べて メモリ管理が必要ない GUIが作りやすい Javaに比べて コードの制限が少ない

More information

Microsoft PowerPoint - OS04.pptx

Microsoft PowerPoint - OS04.pptx この資料は 情報工学レクチャーシリーズオペレーティングシステム松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました オペレーティングシステム #4 並行プロセス : 排他制御基礎 パワーポイント 2007 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ

More information

3/7 マイグレーション開発方針 顧客名 0 作成者 根岸正 < プログラム移行方針 > システム名称 A-VX システムマイグレーション作成日 2015/09/01 < COBOL 資産のプログラム移行 > COBOLソース ( メインとCOPYLIB) を入力としてSCC 言語変換ツールにてVB

3/7 マイグレーション開発方針 顧客名 0 作成者 根岸正 < プログラム移行方針 > システム名称 A-VX システムマイグレーション作成日 2015/09/01 < COBOL 資産のプログラム移行 > COBOLソース ( メインとCOPYLIB) を入力としてSCC 言語変換ツールにてVB 3/7 マイグレーション開発方針 顧客名 0 作成者 根岸正 < プログラム移行方針 > システム名称 A-VX システムマイグレーション作成日 2015/09/01 < COBOL 資産のプログラム移行 > COBOLソース ( メインとCOPYLIB) を入力としてSCC 言語変換ツールにてVB.netソリューションを作成します言語変換後にSDK( ソフトウェア開発キット ) にてデバッグおよびビルドにて実行可能アプリケーションを作成します

More information

人工知能入門

人工知能入門 藤田悟 黄潤和 探索とは 探索問題 探索解の性質 探索空間の構造 探索木 探索グラフ 探索順序 深さ優先探索 幅優先探索 探索プログラムの作成 バックトラック 深さ優先探索 幅優先探索 n 個の ueen を n n のマスの中に 縦横斜めに重ならないように配置する 簡単化のために 4-ueen を考える 正解 全状態の探索プログラム 全ての最終状態を生成した後に 最終状態が解であるかどうかを判定する

More information

C 言語の式と文 C 言語の文 ( 関数の呼び出し ) printf("hello, n"); 式 a a+4 a++ a = 7 関数名関数の引数セミコロン 3 < a "hello" printf("hello") 関数の引数は () で囲み, 中に式を書く. 文 ( 式文 ) は

C 言語の式と文 C 言語の文 ( 関数の呼び出し ) printf(hello, n); 式 a a+4 a++ a = 7 関数名関数の引数セミコロン 3 < a hello printf(hello) 関数の引数は () で囲み, 中に式を書く. 文 ( 式文 ) は C 言語復習 C 言語の基礎 来週もこの資料を持参してください C 言語, ソースファイルの作成, コンパイル, 実行 1 C 言語 C 言語プログラミングの手順 とは, 計算機を動かす手順を記述したもの. 計算機に命令を与えて動かすには を作成する ことになる. C 言語はプログラミング言語の 1 個 手続き型言語に分類される. C/C++ は非常に多くの場面で使われる言語 C++ は C 言語をオブジェクト指向に拡張したもの

More information

Microsoft PowerPoint - Lecture_2

Microsoft PowerPoint - Lecture_2 プログラミング Java III 第 2 回 :WebForm および サーブレット入門 Ivan Tanev 講義の構造 1. ダイナミックWebコンテンツとサーブレット 2.Webフォーム 3. 演習 2 1. ダイナミック Web コンテンツとサーブレット 3 1. ダイナミック Web コンテンツとサーブレット Internet Response: HTML テキスト ユーザー 4 1. ダイナミック

More information

Micro Focus Enterprise Developer チュートリアル メインフレーム COBOL 開発 : MQ メッセージ連携 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red H

Micro Focus Enterprise Developer チュートリアル メインフレーム COBOL 開発 : MQ メッセージ連携 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red H Micro Focus Enterprise Developer チュートリアル 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red Hat Enterprise Linux Server release 6.5 x64 使用した WebSphere MQ : IBM WebSphere MQ 7.5.0.1

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Microsoft PowerPoint - lec10.ppt

Microsoft PowerPoint - lec10.ppt 今日の内容, とポインタの組み合わせ, 例題 1. 住所録例題 2. と関数とは. を扱う関数. 例題 3. のリスト とポインタの組み合わせ 今日の到達目標 自分で を定義する 自分で定義したについて, 配列やポインタを作成する データ型 基本データ型 char 文字 (1 文字 ) int 整数 double 浮動小数など その他のデータ型配列 データの並び ( 文字列も, 文字の並び ) ポインタ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Ceylon( セイロン ) について 佐野尚之 1 OS 開発環境 Android などの対象バージョン OS Windows 7 Home Premium(32bit 版 ) 動作確認環境 EPSON Endeaver NP12( メモリ 1GB) 開発環境 Eclipse 3.7.2 Indigo SR2 Windows 32bit ベース / Pleiades All in One 3.7.2.v20120225

More information