アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2

Size: px
Start display at page:

Download "アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2"

Transcription

1 The World Leader in High Performance Signal Processing Solutions FPGA 時代の高速データ コンバータのクロッキング アナログ デバイセズ株式会社 アナログ デバイセズ株式会社石井聡

2 アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2

3 3 1. イントロダクション

4 ちょっと前までの論理回路同期クロッキングの概念 内蔵クロックバッファ CTS(Clock Tree Synthesis) などを利用して クロックが同期していればよかった F/F 間はセットアップ ホールドが満足していればよかった setup DATA hold CLK 同期 CLK ライン 4

5 近年の論理回路クロッキング問題点 クロック速度が高速になってきたので いままで無視できていたクロック ジッタが無視できなくなってきた! タイミング予測のうえでクロックの不確定性 (pk pk ジッタ ) が問題になってきている DATA setup hold CLK ジッタ量は上下で同じとしてある DATA setup hold CLK 5

6 近年のミックスド シグナルのクロッキングとデータ変換の問題点 取り扱うアナログ周波数が広帯域化 (Wideband) 高周波化 (High Frequency) している ADC を駆動するクロックのジッタ ( 純度の低さ ) により ADC の SNR( ダイナミック レンジ ) が低下してしまう! 論理回路を動作させるに十分なジッタ量よりもさらにシビアな ( 高純度 ) な低ジッタのクロックが必要 6

7 7 2. クロック ジッタ ( 時間軸 ) と SSB ノイズ ( 周波数軸 ) とを比較してみる

8 現代の高速クロッキングを実現するPLL(Phase Locked Loop) システム たとえば R = 4 1/R 分周器 位相比較器 (PFD) R = 4 より PFD = 5MHz たとえば N = 25 チャージポンプ 1/N 分周器 PLL IC 内部 125MHz を中心として 5MHz ステップを考える ループ フィルタ ここは VCO を制御する電圧 120 MHz N = 24 VCO ( 電圧制御発振器 ) クロック出力 N = 25 PFD = 5MHz 125MHz 130 MHz N = 26 基準周波数 f R 入力 8 たとえば f R = 20MHz PFD = 5MHz 125MHz N = 25

9 クロック ジッタが生じるしくみ PLL システムで発生する位相ノイズ (Phase Noise) PFD とチャージポンプ ループ フィルタ ここで位相比較ノイズが発生する VCO 制御電圧がノイズで変調される ジッタが発生する コンパレータ 論理ゲートのスレッショルド付近でスレッショルド レベルに影響を与えるサーマル ノイズ ( 熱雑音 Johnson Noise) サーマル ノイズによりスレッショルドが変化する 9

10 クロック ジッタの時間ドメインと周波数ドメインの相互関係 (Periodic Jitter 時間波形 ) クロック 1 周期の時間 1UI = 5ns (200MHz) Periodic ジッタ ( サイン波 ) のジッタ位相変動 (100us, 10kHz) d = 0.01rad としてみる 0.01rad = 16ps s t = sin(ωt + d sin pt) = sin ωt + d sin(ωt + pt) sin(ωt pt) 2 式変形は 6 節で示す 10 d は位相変移 (rad, 上記では 0.01rad) ω はクロックの角周波数 (rad/sec, 上記では 2π 200MHz [rad/sec]) p はジッタ変動の角周波数 (rad/sec, 上記では 2π 10kHz [rad/sec]) Periodic ジッタから random ジッタ & トータルジッタに話をすすめていく

11 クロック ジッタの時間ドメインと周波数ドメインの相互関係 (Periodic Jitter スペクトル ) CN 比 (Carrier Noise) 46dBc d = 0.01rad = 20 log d = 20 log = -46 db 10kHz SSB (Single Side Band) ノイズという s t = sin ωt + d 2 sin(ωt + pt) sin(ωt pt) 11

12 クロック ジッタの時間ドメインと周波数ドメインの相互関係 (Periodic Jitter から Random & Total Jitter へ ) ジッタ位相変動周期を個別サイン波の集合体として考えれば 各サイン波がサイドバンドとしてスペクトル上に出ていることになる ただしそれぞれ相関が無いので Root Sum Square で計算 (3 節であらためて説明 ) s t = sin(ωt + d 1 sin p 1 t + d 2 sin p 2 t + + d n sin p n t) 12

13 クロック分周とジッタと SSB ノイズ クロックを 2 分周すれば SSB ノイズは 1/2(-6dB) になる 実際のジッタ量自体は変わらないが 1 周期におけるジッタ占有率が減る ジッタ量は上下で同じ CN 比 -76dBc/Hz CN 比 -82dBc/Hz ADF で 2000MHz を発生 ADF で 2000MHz を発生させ 1/2 して 1000MHz を出力 13

14 14 3. 周波数ドメインからトータル時間ジッタを求める 目的は時間ジッタを知りたい

15 周波数ドメインから Total Jitter (rms) を求める 1 1Hz あたりの CN 比 dBc/Hz Δf = 10kHz 1 ノーマルマーカをセンターにもってくる 2 デルタマーカ ( ピークからの dbc; db Carrier 比 ) に切り替え 3 ノイズマーカ (1Hz あたりのノイズ電力 ) に切り替え 4 これで各点を測定 15

16 周波数ドメインから Total Jitter (rms) を求める 2 A N = ゾーンNの位相ノイズvsキャリア比 (CN 比 ) の積分値 dbc (A N の求め方は次のスライド ) 位相ノイズ (dbc/hz) 16 A1 ゾーン N での rms 位相ノイズ P N (rad) = 2 10 A N 10 ( ジッタの DSB 相関係数の関係でさらに 1~ 2 の不確定性あり ) ゾーン N での rms 時間ジッタ t JN (rad) = ( 不確定性については同上 ) Total Jitter (rms) = スペアナのノイズ フロアは充分に低くし A2 できるだけ広帯域で観測する A3 A4 積分値が飽和するあたりか ノイズフロアで積分を 10k 100k 1M 10M 100M 1G 打ち切る ( しかない ) 周波数オフセット (Hz) t 2 J1 + t 2 J2 + t 2 J3 1 2πf o 2 + t J A N 10

17 周波数ドメインから Total Jitter (rms) を求める 3 A N = 10 log( 10 P(k) 10) + 10 log( 測定スパン [Hz]) k (kはエリアn 内の測定ポイント数 ) 位相ノイズ (dbc/hz) もしくは簡略的に ( エリア A 1 であれば A 1 = 10 log((100k 10k) 10P ) 17 A1 スペアナのノイズ フロアは充分に低くし A2 できるだけ広帯域で観測する A3 A4 積分値が飽和するあたりか ノイズフロアで積分を 10k 100k 1M 10M 100M 1G 打ち切る ( しかない ) 周波数オフセット (Hz)

18 時間ジッタを直接測定するシステムの例 DSA70000 シリーズ MSO でジッタ解析しヒストグラムを表示 ジッタ解析のできる DSA70000 シリーズ MSO 18 Tektronix 様ご提供

19 得られた答えは rms 値 ではピークは? トータルジッタとしてはランダムな波形なので 正弦波のように PK = 2 rms にはならない ガウス分布とすると PK = 6 rms 程度まで考慮する ジッタ自体も ( 回路内部で自然に ) 帯域制限されているので この程度まで考慮すれば一般的には問題ない 19

20 20 4. AD 変換におけるジッタの影響

21 AD 変換におけるジッタの影響 1 本来精度は SNR( 信号対ノイズ比 ) で決まるが サンプリング タイミング誤差が電圧誤差になる サンプリング クロック 時間ジッタ トラックモード ホールドモード 21 ADC へのサンプリング クロック

22 SNR (db) ENOB ( 有効ビット数 ) AD 変換におけるジッタの影響 ps 0.25 ps 0.5 ps 1 ps 2 ps クロック ジッタ = t j (rms) 1 SNR = 20 log 10 2 p f t j t j = 2ps rms f in = 50MHz で 64dB =10bit 程度 Analog Input Analog Devices ADC Sampling Clock 16 BITS 14 BITS 12 BITS 10 BITS アナログ信号入力周波数 (MHz) 高速 高精度サンプリング実現には非常に低ジッタのクロックが必要 Digital Output SNR 22

23 ADIsimADC を利用した FFT シミュレーション ( ジッタ フリーの条件 ) SNR = db アナログ デバイセズのサイトで検索! 23

24 ADIsimADC を利用した FFT シミュレーション ( ジッタ = 2ps rms) SNR = db 24

25 ミックスド シグナル アプリケーションの一例高速ダウン サンプリングはジッタに厳しい! ナイキスト周波数範囲 fs/2 f ダウン サンプリング BPF した信号 185MHz fs 14bit 250Msps ADC AD9642 ENOB = 11.3bit ENOB = 10.5bit 15MHz t j (rms) = 1.5ps で ENOB 9 ビット程度! fs/2 ENOB = 9.7bit 15MHz f fs D/S でも規定している fin = 185MHz イメージが 15MHz ENOB = 8.8bit 実際はデジタル フィルタで改善はされるが 25

26 26 5. アナログ デバイセズのクロック ソリューション

27 AD 出力デュアル PLL クロック ジェネレータ PLL1 はジッタ クリーナ PLL2 で実周波数生成 s 以下のジッタ HSTL/LVPECL/LVDS/ CMOS 2 選択入力 自動スイッチ オーバ 自動ホールド オーバ シリアル ポートで設定 EEPROM 内蔵 ここのOSCは VCXO( 水晶振動子 ) AD 用途 : 高速 ADC や DAC のクロッキング LTE やマルチキャリア GSM 基地局 無線や光通信インフラ SONET, 10Gig Ether, 10G Fibre Channel 27

28 AD9548 DDS ベースネットワーク ( 網同期 ) クロック ジェネレータ / シンクロナイザ AD 参照周波数入力は 1Hz から 750MHz 最大出力周波数は 450MHz インテジャー 30bit フラクショナル 10bit の分周器 4 入力 / 4 出力あり シングル エンド 差動いずれか設定可能

29 ADF4351 VCO 内蔵広帯域 PLL シンセサイザ 出力周波数範囲 35MHz~ 4400MHz フラクショナル N インテジャー N の分周器両方を内蔵 低位相ノイズの VCO 内蔵 1/2/4/8/16/32/64 分周出力をプログラムで設定可能 ジッタ 0.3ps rms (typ) 3 線シリアル インターフェース ADF

30 ジッタ解析機能もある設計ツール ADIsimCLK LVPECL Driver Vs = 3.3V 0.1nF Parallel Transmission Line Zo = 100 ohms nF AD9511 でシミュレーションしてみた例 OUT0: Frequency: MHz Broadband Timing Jitter = 238fs rms SNR = 76.49dB ENOB = 12.75bits at IF Freq = 100MHz Integrated Phase Noise from 100kHz to 1.25MHz Timing Jitter = 14.5fs rms Phase Jitter EVM = %rms Phase Jitter = degrees rms Voltage (V) m OUT0 Output Waveform OUT0 /OUT Time (ns) SNR (db) SNR from Jitter 50 1M 10M 100M 1G IF Frequency (Hz) Phase Noise (dbc/hz) OUT0 Phase Noise k 10k 100k 1M 10M 100M 1G Frequency (Hz) 30

31 ソフトウエア無線 (SDR) システムの応用例 1000Mbps IQ MOD MHz TX Path MHz DDR DAC GAIN 段 FMC Connector LVDS 1 ペア 14 50MHz Ref Clk 125MHz DDR AD9548 Clk Gen と同期 Input: 1 Hz - 750MHz Slave Clk In Sync In ADC 2 AD Clk 分配 Output: MHz VGA ADF4351 PLL 周波数シンセサイザ SPI SPI SPI 2 PLL 周波数シンセサイザ SPI Output: MHz AD-FMCCOMMS1-EBZ MSPS RX Path IQ DEMOD MHz

32 32 6. 理論式導出の補足

33 時間ジッタと SSB ノイズの式の導出過程 s t = sin(ωt + d sin pt) = sin ωt cos d sin pt + cos(ωt) sin(d sin pt) ここで ω はクロックの角周波数 d は periodic ジッタ位相変位 p は periodic ジッタ位相変動周波数 ここで d sin pt 1 なら s(t) = sin ωt + cos(ωt) dsin pt = sin ωt + d 2 sin(ωt + pt) sin(ωt pt) キャリア 上側側波帯 (USB) 成分 下側側波帯 (LSB) 成分 33 d の単位は radian Tj pk (peak 値 ) との関係は Tj pk = d ω = d 2πf

34 時間ジッタと SSB ノイズの式 ( 帯域をもった信号に拡張 すると ) s t = sin(ωt + d sin pt) の式を複数のジッタ位相変動周波数 p n に拡張すれば s t = sin(ωt + d n n sin p n t) となる 前のスライドと同じように式変形していけば s t = sin(ωt) d n + 2 sin ωt + p nt sin(ωt p n t) n 複数の位相ジッタの変動周波数 p n ごとにUSB, LSBのスペクトルが得られる このように任意のd n, p n に拡張すれば 任意のジッタ ( ランダムジッタも ) を式で表現でき そのスペクトル (SSBノイズ) との関連も理解できる なおこの式ではスライド16の ジッタの相関係数の関係でさらに 1~ 2の不確定性 については 相関係数 = 1 になるので 時間ジッタ量は 2の大きさになる 34

35 35 7. まとめと参考文献

36 まとめ クロック ジッタでミックスド システムの性能が低下 時間軸と周波数軸のそれぞれの波形の関係を理解し 適切にジッタ量をもとめる ジッタ量とアナログ周波数 ADC の SNR を事前に評価する 36

37 参考文献 ミックスド シグナル システムのクロック ジッタに関する Analog Devices の文献 AN-756 : サンプル化システムに及ぼすクロック位相ノイズとジッタの影響 AN-741 : 位相ノイズの知られざる特性 AN-1067 : 位相ノイズとジッタの電力スペクトル密度 : 理論 データ解析 実験結果 最新の位相ノイズの測定器 測定方法 RF ワールド No. 18 新コンセプト測定器の技術フィーチャー, CQ 出版社 37

38

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc)

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc) ディジタル PLL 理論と実践 有限会社 SP システム 目次 - 目次 1. はじめに...3 2. アナログ PLL...4 2.1 PLL の系...4 2.1.1 位相比較器...4 2.1.2 ループフィルタ...4 2.1.3 電圧制御発振器 (VCO)...4 2.1.4 分周器...5 2.2 ループフィルタ抜きの PLL 伝達関数...5 2.3 ループフィルタ...6 2.3.1

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い

アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使い & 高い柔軟性と使いやすさを実現する包括的製品ポートフォリオ tij.co.jp/clocks 2013 アナログ IC の分野で世界ナンバー 1のサプライヤであるテキサス インスツルメンツは 広範な用途向けにクロック バッファ / クロックジェネレータから ジッタ アッテネータ RF PLL/ シンセサイザにいたる包括的なクロック / タイミング IC 製品ポートフォリオを提供しています こうした使いやすく

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 3) PLL( 位相ロック ループ ) 回路でのトラブル解決技法と性能改善技法 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

株式会社xx御中

株式会社xx御中 SAW 共振子による低位相雑音発振器 と次世代携帯電話への応用 電子情報通信学会春季総合大会於名城大学 アール エフ アーキテクチャ株式会社 森榮真一 2017 年 3 月 26 日 サマリー : 次世代携帯電話向けローカル発振器の提案と検証 次世代携帯電話通信においては 更なる高速 大容量化が期待されている 今後 携帯電回線高速化の実現のために 利用電波帯域は数十 GHz 帯への移行が予測される

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information The World Leader in High Performance Signal Processing Solutions SPICE ツールで適切な周波数特性と異常発振しない OP アンプ回路を実現する 基礎編 アナログ デバイセズ株式会社石井聡 1 アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

オーバーサンプリングによる ADC12 の高分解能

オーバーサンプリングによる ADC12 の高分解能 www.tij.co.jp アプリケーション レポート JAJA088-2007 年 8 月 ADC12 オーバーサンプリングによる高分解能の実現 Harman Grewal ( 日本テキサス インスツルメンツ ( 株 ) 菅原仁 訳 ) MSP430 まえがきこのアプリケーション レポートでは オーバーサンプリング手法により ADコンバータ (ADC) が提供するビット数よりも高い分解能を実現する方法を説明します

More information

時間インタリーブ方式ADCシステム向け高精度クロックの生成

時間インタリーブ方式ADCシステム向け高精度クロックの生成 LMK03000,LMK03001 Literature Number: JAJA429 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 109...1-7...2 /....4...6...8 James Catt, Applications Engineer v(t)

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

スペクトルに対応する英語はスペクトラム(spectrum)です

スペクトルに対応する英語はスペクトラム(spectrum)です 7. ハミング窓とフラットトップ窓の等価ノイズ帯域幅 (ENBW) (1) Hamming 窓 Hamming 窓は次式で表されます MaTX にも関数が用意されています win = 0.54-0.46*cos(2*PI*[k/(N-1)); ただし k=0,1,---,n-1 N=256; K=[0:N-1]; w=0.54-0.46*cos(2*pi*k/(n-1)); mgplot_reset(1);

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

通信理論

通信理論 情報通信 振幅変調 (1) 情報信号を搬送波に載せて送信する方式情報信号 : 変調信号 変調 信号に応じて搬送波のパラメータの一つを変化させる操作 変調信号 + 搬送波 被変調波変調 復調 : 元の情報信号を抽出 情報を表す変調信号搬送波変調 ( 被 ) 変調波復調 変調の種類 振幅変調 AM(Amplitude Modulation) 周波数変調 FM (Frequency Modulation)

More information

E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5

E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5 Agilent Technologies E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5dB レベル確度 フェージングシミュレーション Option404

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

スライド 1

スライド 1 第 47 回集積回路技術リテラシー研究会 2017/10/2 トリガ回路を用いた 積分型時間デジタイザ回路 佐々木優斗 小澤祐喜 小林春夫 群馬大学理工学部電子情報理工学科小林研究室学部 4 年佐々木優斗 t14304053@gunma-u.ac.jp @ 東京工業大学すずかけ台キャンパス Kobayashi Lab. Gunma University アウトライン 2/36 研究背景 従来の時間デジタイザ回路

More information

Template R&S Datenblätter/Produktbroschüren/Specs

Template R&S Datenblätter/Produktbroschüren/Specs Version 02.01 October マイクロ波シグナル ジェネレータ R&S SMF100A 2010 次世代の信号発生機能 ローデ シュワルツ ジャパン株式会社 概要 主な特徴 優れた信号品質 typ.-120dbc typ.-148dbc ( typ.55dbc typ.-62dbc 生産分野での使用に最適

More information

Microsoft PowerPoint - 光ネットワーク産業_ pptx

Microsoft PowerPoint - 光ネットワーク産業_ pptx 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると,

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

dsA4

dsA4 データシート DDS ファンクションジェネレータ 1 μhz 80 MHz / 120 MHz / 160 MHz 2 チャンネル出力 任意波形機能付 は安定した高精度の正弦波 方形波 三角波 パルスならびに任意波形を生成する DDS (Direct Digital Synthesis) 方式の 2 チャンネル任意波形機能付ファンクションジェネレータです 視認性の高いカラー ディスプレイとキーパッドから直感的なユーザ

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

untitled

untitled + From Tradeoffs of Receive and Transmit Equalization Architectures, ICC006,Bryan Casper, Intel Labs Transmitter Receiver 0 magnitude (db) 0 0 30 40 50 60 0 4 frequency (GHz). Receiver Transmitter FFE

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

Microsoft PowerPoint - ⑥説明者(太刀川).ppt

Microsoft PowerPoint - ⑥説明者(太刀川).ppt 高速無線 LAN の 安定な通信のための MC-CDMA 符号ダイバーシチ方式 長岡技術科学大学電気系准教授太刀川信一 Σ 1. 研究背景近年の高度無線情報通信の発展はめざましく ますます その利用範囲は広がっていく 現在の無線 LAN 携帯電話等の通信単一 ( 少数 ) の周波数に 1-1 等の情報を乗じて送る Single Carrier: SC f t 1 例 :DS/SS これからの高速無線

More information

LTE-Advanced キャリア・アグリゲーションの測定 アプリケーションノート

LTE-Advanced キャリア・アグリゲーションの測定 アプリケーションノート Application Note LTE-Advanced キャリア アグリゲーションの測定 シグナルアナライザとベクトル信号発生器を使ったデモ MX269020A-001 LTE-Advanced FDD ダウンリンク測定ソフトウェア MX370108A-001 LTE-Advanced FDD IQproducer MS2690A/MS2691A/MS2692A シグナルアナライザ MG3710A

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

DVIOUT

DVIOUT 第 章 離散フーリエ変換 離散フーリエ変換 これまで 私たちは連続関数に対するフーリエ変換およびフーリエ積分 ( 逆フーリエ変換 ) について学んできました この節では フーリエ変換を離散化した離散フーリエ変換について学びましょう 自然現象 ( 音声 ) などを観測して得られる波 ( 信号値 ; 観測値 ) は 通常 電気信号による連続的な波として観測機器から出力されます しかしながら コンピュータはこの様な連続的な波を直接扱うことができないため

More information

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究 CMOS RF 回路 ( アーキテクチャ ) と サンプリング回路の研究 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 974516 滝上征弥 指導教官小林春夫教授 発表内容 1.CMOS RF 回路 (a) 復調部アーキテクチャ (b) VCO 回路 ( 発振器 ) 2. サンプリング回路 (a) オシロスコープ トリガ回路 (b) CMOS コンパレータ回路 目的 無線通信システムの

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

Microsoft PowerPoint - 受信機.ppt[読み取り専用]

Microsoft PowerPoint - 受信機.ppt[読み取り専用] 受信機 1. 直線受信機 2. スーパヘテロダイン受信機 受信機 1.AM 受信機 DSB 受信機 SSB 受信機 2.FM 受信機 高周波増幅器 アンテナで受信した希望周波数 f s を増幅する 周波数変換回路 混合器と局部発振器からなり 高周波増幅された信号を中間周波数に変換する 局部発振器 スーパヘテロダイン受信機の局部発信周波数は受信周波数より中間周波数だけ高く ( 低く ) 設定する 混合器

More information

Microsoft PowerPoint - aep_1.ppt [互換モード]

Microsoft PowerPoint - aep_1.ppt [互換モード] 物理計測法特論 No.1 第 1 章 : 信号と雑音 本講義の主題 雑音の性質を理解することで 信号と雑音の大きさが非常に近い状態での信号の測定技術 : 微小信号計測 について学ぶ 講義の Web http://www.g-munu.t.u-tokyo.ac.jp/mio/note/sig_mes/tokuron.html 物理学の基本は実験事実の積み重ねである そして それは何かを測定することから始まる

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ www.tij.co.jp JAJA098 トランス インピーダンス アンプ設計の基礎 川田章弘 Field Application & Solutions, Analog Signal hain アブストラクト 本アプリケーション レポートは, 初めてトランス インピーダンス アンプを設計する人のために, 回路定数を決定する方法とアンプの雑音レベル, および回路の安定性について検討する方法を解説するものです.

More information

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E >

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E > 目次 参考文献安達著 : 通信システム工学, 朝倉書店,7 年. ディジタル変調. ディジタル伝送系モデル 3. 符号判定誤り確率 4. 元対称通信路 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 変調とは?. ディジタル変調 基底帯域 ( ベースバンド ) 伝送の信号波形は零周波数付近のスペクトルを持っている. しかし, 現実の大部分の通信路は零周波数付近を殆ど伝送することができない帯域通信路とみなされる.

More information

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R 第 回,, で構成される回路その + SPIE 演習 目標 : SPIE シミュレーションを使ってみる 回路の特性 と の両方を含む回路 共振回路 今回は講義中に SPIE シミュレーションの演習を併せて行う これまでの, 回路に加え, と を組み合わせた回路, と の両方を含む回路について, 周波数応答の式を導出し, シミュレーションにより動作を確認する 直列回路 演習問題 [] インダクタと抵抗による

More information

uPC2745TB,uPC2746TB DS

uPC2745TB,uPC2746TB DS Bipolar Analog Integrated Circuits 3 V IC µpc275tb, µpc27tb IC3 V V NESAT ft = 2 GHz IC VCC = 2.73.3 V VCC = 3.3 V µpc275tbfu = 2.7 GHz TYP. @3 db µpc27tbfu = 1.5 GHz TYP. @3 db µpc275tbisl = 38 db TYP.

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

通信概論2011第2-3週.ppt

通信概論2011第2-3週.ppt まずは : アナログ通信の信号と変調! まず音声 映像情報を電気信号に "! 電気信号を通信のためのキャリア変調 "! 振幅変調 (AM 変調 ) 搬送波 ( キャリア ) の信号強度包絡線を変化 DSB( 搬送波パワーを省略 ) パワー節約 SSB( 両翼サイドバンドを片翼に ) 周波数節約 " S/N はどうなる?! 位相変調 (PM) 周波数変調 (FM) あとで勉強します " アナログ伝送のための変調方式!

More information

AD

AD AD 1110800673 2015 2 25 1 1 1.1..................................... 1 1.2................................... 3 2 4 2.1....................... 4 2.2 TDC................................ 5 2.2.1.....................................

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X (

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X ( 第 週ラプラス変換 教科書 p.34~ 目標ラプラス変換の定義と意味を理解する フーリエ変換や Z 変換と並ぶ 信号解析やシステム設計における重要なツール ラプラス変換は波動現象や電気回路など様々な分野で 微分方程式を解くために利用されてきた ラプラス変換を用いることで微分方程式は代数方程式に変換される また 工学上使われる主要な関数のラプラス変換は簡単な形の関数で表されるので これを ラプラス変換表

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

JA.qxd

JA.qxd Application Note http://www.ddwg.org/ DVI World PC Cable Assembly Video/Graphics Card Display Projector 2 キーワード 高速パルス シグナル ル インテグリティ インピーダンス ス マッチング EMI 対策 伝送距離の制約 相互接続性 3 http://www.ddwg.org/ DVI Revision

More information

回路設計 WEBラボ:正弦波をA/D変換し窓関数なしに打ち切ってFFTしてみると

回路設計 WEBラボ:正弦波をA/D変換し窓関数なしに打ち切ってFFTしてみると TNJ-8 アナログ電子回路技術ノート 正弦波を A/D 変換し窓関数なしに打ち切って FFT してみると 著者 : 石井聡 はじめに こんなご質問をいただきました A/D コンバータのデータシートに記載されている FFT スペクトルについてなのですが A/D 変換サンプリング周波数 f S [Hz]( サンプリング周期 T S [s] = 1 / f S) と FFT ポイント数 N から決まる

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 本研究課題の背景 (1/2) ( 従来技術とその問題点 ) LSI の微細化 高速化 低電圧化 - ノイズマージンの低下化 - ノイズ ( ソフトエラー,

More information

dsA4

dsA4 データシート DDS ファンクションジェネレータ 1 μhz 5 MHz/ 10 MHz/ 25 MHz / 50 MHz 2 チャンネル出力 任意波形機能付 は安定した高精度の正弦波 方形波 三角波 パルスならびに任意波形を生成する DDS (Direct Digital Synthesis) 方式の 2 チャンネル任意波形機能付ファンクションジェネレータです 視認性の高いカラー ディスプレイとキーパッドから直感的なユーザ

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

<4D F736F F D20837E836A837D E82CC88D98FED E12E646F63>

<4D F736F F D20837E836A837D E82CC88D98FED E12E646F63> 振動分析計 VA-12 を用いた精密診断事例 リオン株式会社 振動分析計 VA-12 を用いた精密診断事例を紹介します 振動分析計 VA-12 は 振動計と高機能 FFT アナライザが一体となったハンディタイプの測定器です 振動計として使用する場合は加速度 速度 変位の同時計測 FFT アナライザとして使用する場合は 3200 ライン分解能 20kHz の連続リアルタイム分析が可能です また カラー液晶に日本語表示がされます

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

スライド 1

スライド 1 電気情報通信学会 変調 ADC を用いたモータ駆動用 ディジタル信号処理方式の検討 群馬大学 : 小堀 古谷 山田 佐藤 田浦 森 光野 小林 ( 和 ) 小林 ( 春 ) ルネサステクノロジ : 鴻上 黒岩 黒澤 1 背景 1. 背景と目的 2. 回路構成と提案方式 3. 変調 ADCとディジタル制御方式 4. リア デシメーションフィルタ方式 5. シミュレーション結果 6. 結論 2 Areal

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

1 s(t) ( ) f c : A cos(2πf c t + ϕ) (AM, Amplitude Modulation) (FM, Frequency Modulation) (PM, Phase Modulation) 2

1 s(t) ( ) f c : A cos(2πf c t + ϕ) (AM, Amplitude Modulation) (FM, Frequency Modulation) (PM, Phase Modulation) 2 (Communication and Network) 1 1 s(t) ( ) f c : A cos(2πf c t + ϕ) (AM, Amplitude Modulation) (FM, Frequency Modulation) (PM, Phase Modulation) 2 1.1 AM s(t) : A(αs(t) + 1) cos 2πf c t A, α : s(t) = cos

More information

計測コラム emm182号用

計測コラム emm182号用 計測コラム emm182 号用 計測に関するよくある質問から - 第 9 回パワースペクトル密度の計算方法 当計測コラムでは 当社お客様相談室によくお問い合わせいただくご質問をとりあげ 回答内容をご紹介しています 今回は FFT 解析により得られたパワースペクトルからパワースペクトル密度 (PSD) を計算する方法をご紹介します ランダム信号などの周期的ではない信号 ( 連続スペクトルをもつ信号 )

More information

本文/報告1

本文/報告1 Millimeter wave Radio on Fiber System for Digital Broadcasting Signals Tsuyoshi NAKATOGAWA, Mikio MAEDA and Kimiyuki OYAMADA ABSTRACT 24 NHK R&D/No.127/2011.5 f C f sig f car f car f car + f sig f C f

More information

スライド 1

スライド 1 Let s learn Signal Chain セッション 7 : A/D コンバータ性能の理解 R 14 R 15 Ratio-metric Biasing Circuit Ver.-2 A6 R 13 REF Buffer C 5 R 7 R 8 C 2 A1 R 3 R 4 A4 R 11 REF IN+ VS+ R G R 1 R 2 A3 R 9 Scaling R 10 R 12 C

More information

Microsoft Word - pressrelease _okada.doc

Microsoft Word - pressrelease _okada.doc 平成 25 年 2 月 15 日 東京工業大学広報センター長 大谷清 二重ループ構造により注入同期現象を安定化 - 小型 低ジッタ 低消費電力のクロック生成を実現 - 概要 東京工業大学大学院理工学研究科の松澤昭教授と岡田健一准教授らの研究グループは ( 用語集積回路中での注入同期現象 1) の安定化に成功した 注入同期現象をクロック生成に応用すれば 低消費電力で高純度なクロックを生成できることが知られていたが

More information

PowerPoint Presentation

PowerPoint Presentation The World Leader in High Performance Signal Processing Solutions 最近のプリント基板で生じがちなトラブル対策に必要な知識 アナログ デバイセズ株式会社石井聡 2014 年 12 月 13 日 アジェンダ 1. 回路実現でトラブルを生じさせない 基本中の基本 2. 最近注意すべきトラブル 基板上 ( 層間 ) で生じる容量 3. マイコン回路とAD

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

BERTWave™ シリーズ MP2110A MP2100B 個別カタログ

BERTWave™ シリーズ MP2110A MP2100B 個別カタログ Product Brochure BERTWave MP2110A MP2100B All In One BERT+ Sampling 4chOscilloscope 生産性でコストダウン BERT とサンプリングオシロスコープを一体化した All In One 測定器 マルチチャネル光モジュール評価ソリューション BERTWave MP2110A / MP2100B 4ch for 100G/200G/400G

More information

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E >

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E > 多重伝送と多重アクセス コミュニケーション工学 A 第 4 章 多重伝送と多重アクセス 多重伝送周波数分割多重 (FDM) 時分割多重 (DM) 符号分割多重 (CDM) 多重アクセス 多重伝送 地点から他の地点へ複数チャネルの信号を伝送するときに, チャネル毎に異なる通信路を用いることは不経済である. そこでつの通信路を用いて複数チャネルの信号を伝送するのが多重伝送である. 多重伝送の概念図 チャネル

More information

Microsoft PowerPoint - machida0206

Microsoft PowerPoint - machida0206 広帯域制御のためのフォトメカニカルアクチュエータの開発とその応用 東京大学新領域創成科学研究科物質系専攻三尾研究室 M2 町田幸介 重力波研究交流会 (2009 2/6) 1 発表の流れ 実験の背景 広帯域制御のためのアクチュエータ 実験の目的 実験 電磁アクチュエータの作製 電磁アクチュエータの評価 電磁アクチュエータの応用 ( 位相雑音補償と共振器長制御 ) まとめ 2 広帯域制御のためのアクチュエータ

More information

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2 2 1 2 2 224 48 7 11 15 12 2 2 48 21 1 4 IEEE1394 USB1.1 USB2. 1 2 1.5 12 1.5 12 (Low speed) (Full speed) 4 48 (High speed) 5 5 * 29 年には USB3. がリリースされる予定で 5Gbps の SuperSpeed が追加される 224 4824 TP4 TP3 TP2

More information

Keysight Technologies LTEの動作と測定におけるMIMO:LTEテストの概要

Keysight Technologies LTEの動作と測定におけるMIMO:LTEテストの概要 Keysight Technologies LTE MIMO LTE Application Note LTE Long Term Evolution MIMO MIMO LTE 1 MIMO OFDM 64 QAM I/Q 2 1 MIMO LTE Long Term Evolution 3GPP 8 1 MIMO 1 RF 1 MIMO MIMO RF 2 2 MI 2 2 MO Tx SISO

More information

ADF4001: 200 MHz クロック・ジェネレータ PLL

ADF4001: 200 MHz クロック・ジェネレータ PLL 200MHz クロック ジェネレータ PLL 特長 帯域幅 : 200 MHz 電源 : 2.7 V~5.5 V 5 V システムでチューニング電圧の拡張を可能にする外付けチャージ ポンプ電源 (V P ) チャージ ポンプ電流が設定可能 3 線式シリアル インターフェースを内蔵ハードウェアとソフトウェアのパワーダウン モードアナログとデジタルのロック検出 ADF4110/ADF4111/ADF4112/ADF4113

More information