1 FabScalar FabCache FabBus FabHetero FabCache FabCache FabCache FabCache FabCache ns 0.1

Size: px
Start display at page:

Download "1 FabScalar FabCache FabBus FabHetero FabCache FabCache FabCache FabCache FabCache ns 0.1"

Transcription

1 2015 (413M505)

2 1 FabScalar FabCache FabBus FabHetero FabCache FabCache FabCache FabCache FabCache ns 0.1

3 Abstract Single-ISA heterogeneous multi-core architecture which consists of diverse superscalar cores is increasing importance in the processor architecture. Using a proper superscalar core for characteristic in a program contributes to reduce energy consumption and improve performance. However, designing a heterogeneous multi-core processor requires a large design and verification effort. Therefore, FabHetero has been proposed which generates diverse heterogeneous multi-core processors automatically using FabScalar, FabCache, and FabBus which generate various designs of superscalar core, cache system, and flexible shared bus system, respectively. This paper presents the detail of FabCache and shows that the caches generated by FabCache with arbitrary parameter values such as cache capacity, line size, associativity, access latency, and line transmission width between cache hierarchies work correctly. This paper also focuses on performance estimation and the physical design of the caches. According to the estimation results, FabCache generates cache systems which have almost the same area and power consumption as hand-tuned cache because the ratio of L1 instruction and data cache controller including extra circuits is only 3.5% and the increased power consumption by comparing with hand-tuned cache is less than 0.1% although having the overhead of automatic generation.

4 FabHetero FPGA LEON FabCache L L L FabCache i

5 39 40 A 46 B 46 ii

6 2.1 Homogeneous and Heterogeneous multi-core Example of Cache System FabHetero Implementation of interleaved L1 instruction cache L1 Data Cache L2 cache design Fetch image of superscalar Interleaved memory Interleaved memory Interleaved memory Miss status holding register Cache hit rate L1Icache Power Consumption L1Dcache Power Consumption Chip image of L1 instruction cache Chip image of L1 data cache iii

7 5.1 Available designs in FabCache EDA environment Delay iv

8 1 [1, 2, 3, 4] RTL(Register Transfer Level) FabScalar [5, 6, 7, 8, 9, 10, 11] FabScalar FabScalar 1

9 FabHetero [12] FabHetero 3 FabScalar FabCache [13] FabBus [14, 15] 3 FabHetero 4 5 FabCache 6 7 FabCache 2

10 2 2.1 Homogeneous Heterogeneous 2.1: Homogeneous and Heterogeneous multi-core CPU 1 ( 2.1 ) ( 2.1 ) 3

11 2.2 50% 2.2 L1 L

12 CPU L1 L2 2.2: Example of Cache System

13 6

14 FabScalar Core0 Core1 Core2 FabCache L1 Inst Cache L1 Data Cache L1-I L1-D L1-I L1-D L2 Cache L2-I L2-D FabBus Inter Connect Last level cache or main memory 3.3: FabHetero 3 FabHetero FabCache FabHetero FabHetero FabHetero 7

15 FabScalar FabCache FabBus FabHetero 3 (Core 0, Core 1, Core 3) Core 0 L1 L1 Core 1 L1 L2 Core 2 L1 L2 L1 L2 FabHetero FabScalar, FabCache, FabBus FabScalar, FabBus 8

16 FabCache 3.1 FabScalar N.K.Choudhary RTL [16] FabScalar ILP 1 8 Load store unit (LSU) load store queue (LSQ) FabScalar 3.2 FabBus 9

17 FabBus FabBus ARM AMBA 3.3 [1, 2, 3, 5, 17] B. de Abreu Silva [17] 10

18 FabCache 11

19 4 [18, 19, 20, 21] 4.1 FPGA FPGA P. Yiannacouras [18]

20 4.2 LEON Leon4 [19] 2 n [20, 21] 13

21 5 5.1 FabCache L1 3.3 L1 L2 3.3 L1L2 L2 L2 FabCache 1 FabScalar 14

22 FabCache FabCache ASIC FabCache n 3 15

23 5.1: Available designs in FabCache Memory hierarchy Dimensions( L = line size, Specific microarchitectures S = set size, W = associativity) L1 instruction cache L = (fetch width to 2 n ) 4(byte) two banks interleaved vs. non-interleaved S = 1 to 2 n 1 to 8 fetch width W = 1, 2 n -way, full Interface with L2 cache line size transmission vs. burst transmission enable vs. disable L1 data cache L = (1 to 2 n ) 4(byte) Miss handling S = 1 to 2 n blocking vs. non-blocking W = 1, 2 n -way, full Writing approach MSHR = 1 to 8 entry write-through vs. write-back Interface with L2 cache line size transmission vs. burst transmission enable vs. disable L2 cache L = wider than higher hierarchy dedicated instruction and data vs. unified S = 1 to 2 n Cache coherency W = 1, 2 n -way, full MOESI vs. MOSI vs. MEI vs. dedicated for each processor core. interface with shared memory processor num to/from one vs. processor num to/from multi-ported memory cache replacement policy LRU vs. Pseudo-LRU enable vs. disable

24 I/O I/O FabCache LRU 100 % 17

25 6 L1 L2 6.1 FabCache SystemVerilog 1 RTL P. Yiannacouras [18] RTL RTL 18

26 FabCache RTL FabCache 19

27 6.2 L1 PC Tag Index Bank select bit Line select bit byte offset {Tag, Index} {Tag, Index} + 1 Bank select bit {Tag, Index} Bank select bit Line select bit Fetch width Even Bank line size set size way size are defined in parameter file swap Odd Bank line size set size way size are defined in parameter file (a, b, c, d) (e, f, g, h) (a, b, c, d, e, f, g, h) or (e, f, g, h, a, b, c, d) squeeze N (Fetch width) instructions 6.4: Implementation of interleaved L1 instruction cache 6.4 L1 L1 2 swap squeeze 20

28 L2 cache Store Ack Store request Replay request Miss status holding register Filled data Missed address Stage 1 Stall Stage 2 Store buffer Load buffer Memory buffer Request from cpu Tag memory controller Tag address Tag Hit/Miss signal Tag SRAM memory Data memory controller CPU Requested data Data SRAM memory Data address 6.5: L1 Data Cache bank select bit Line select bit 2 ( ) squeeze 6.3 L1 6.5 L1 L1 2 miss status holding register (MSHR) 21

29 2 LRU Holding register 2 MSHR MSHR 1 MSHR L2 22

30 L1 inst. L1 data dedicated design Superset interface design L2 inst. or L2 bank0 L2 data or L2 bank1 bank select bit == 0 unified design arbiter0 arbiter1 bank select bit == 1 6.6: L2 cache design 6.4 L2 L L2 L2 2 L2 1 L2 L2 L2 2 L2 L

31 L2 L1-L2 L2 L1 L2 L2 2 (2 ) SRAM L2 24

32 Core Cache 1 FETCH_WIDTH : Fetch image of superscalar Line0 Line1 Line2 Line3 Line4 Line5 Line6 Line7 a b c d e f g h i j k l m n o p Line0 Line2 c d a b c d i j k l c d e f e f Line1 e f g h Line3 m n o p Normal Memory Even Bank Odd Bank 6.8: Interleaved memory FabScalar

33 Even Bank a b c d i j k l q r s t Odd Bank e f g h m n o p u v w x (a, b, c, d) (e, f, g, h) swap (a, b, c, d, e, f, g, h) squeeze (b,c,d,e) 6.9: Interleaved memory FabScalar 4 a p ( a b c d )

34 Even Bank a b c d i j k l q r s t Odd Bank e f g h m n o p u v w x (i, j, k, l) (e, f, g, h) swap (e, f, g, h, i, j, k, l) squeeze (f,g,h,i) 6.10: Interleaved memory c d e f L1 2 27

35 c d e f 4 c, d e f squeeze 1 swap f, g, h, i i f, g, h swap [22] FabCache CPU L1 AMBA4 16 MSHR 28

36 L2 Cache Filled data with ID Fill buffer Missed request address Filled data or Invalidate signal Stall signal Fill signal with ID Missed request address MHSR is full stage 2 stage 1 Missed request packet Status Miss status holding registar Comparator and status collection Replay signal Stall signal 6.11: Miss status holding register AMBA4 4 ID 16 MSHR 16 29

37 MSHR 6.11 MSHR MSHR MSHR 2 1 MSHR ID fill buffer Fill buffer L2 fill buffer 1 fill buffer MSHR ID fill fill MSHR ID replay 30

38 1 6.6 FabCache FabCache FabHetero AMBA AMBA System on Chip (SOC) FabCache AMBA AMBA FabCache 1 31

39 7.2: EDA environment. Phase EDA tool functional verification Cadence NC-Verilog S038 synthesis Synopsys Design Compiler SP2 place & route Synopsys IC Compiler G power estimation Synopsys XA G SP RTL SPEC2000INT EDA FabCache SPEC2000INT

40 1 gap Hit rate 0.9 Direct 2-way 4-way 8-way 16-way Hit rate Cache capacity (KB) mcf Direct 2-way 4-way 8-way 16-way Cache capacity (KB) 7.12: Cache hit rate 16 FabCache 33

41 1 FabCache design Hand design gzip gcc bzip mcf Benchmarks 7.13: L1Icache Power Consumption. 1 FabCache design Hand design gzip gcc bzip mcf Benchmarks 7.14: L1Dcache Power Consumption. 7.3: Delay. Design L1 instruction cache L1 data cache FabCache 2.39ns 2.45ns Hand-tuned 2.27ns 2.32ns 7.2 L1 L1 RTL L1 LRU L1 34

42 MSHR SPEC2000 INT 5000 EDA Synopsys XA G SP2 7.13, 7.14 L1 FabCache design 7.13, 7.14 FabCache design FabCache 7.3 FabCache 0.1% 0.1ns RTL 35

43 Cache Control Logic RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO 7.15: Chip image of L1 instruction cache ,7.16 L1 L1 8KB 4 1 LRU L1 1 MSHR FabCache Rohm 180nm [23] 7.15,7.16 Cache control logic 36

44 Cache Control Logic RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO RAM MACRO 7.16: Chip image of L1 data cache. RAM MACRO SRAM 58,496.25µm 2 60,232.16µm 2 SRAM 1,668, µm 2 1,669, µm 2 3.5% 3.6% RTL 37

45 8 FabCache FabCache L1 FabCache L1 3.5% 0.1ns 1% FabCache 38

46 . Synopsys CAD VDEC Rohm VDEC 39

47 [1] R. Kumar, D. M. Tullsen, P. Ranganathan, N. P. Jouppi, K. I. Farkas. Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance. 31st International Symposium on Computer Architecture (ISCA31), pp , June [2] H. H. Najaf-abadi, E. Rotenberg. Configurational Workload Characterization. International Symposium on Performance Analysis of Systems and Software 2008 (ISPASS-2008), pp , April [3] P. Greenhalgh. Big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7. ARM WHITE PAPER: Final.pdf. [4] P. Greenhalgh. Big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7. ARM WHITE PAPER: big.little Final.pdf. [5] N. K. Choudhary, S. V. Wadhavkar, T. A. Shah, H. Mayukh, J. Gandhi, B. H. Dwiel, S. Navada, H. H. Najaf-abadi and E. Roten- 40

48 berg. FabScalar: Composing Synthesizable RTL Designs of Arbitrary Cores within a Canonical Superscalar Template. 38th IEEE/ACM International Symposium on Computer Architecture (ISCA-38), pp , June Rationale for a 3D Heterogeneous Multi-core Processor. Proceedings of the 31st IEEE International Conference on Computer Design (ICCD-31), pp , Oct [6] N. K. Choudhary, S. V. Wadhavkar, T. A. Shah, H. Mayukh, J. Gandhi, B. FabScalar: Automating Superscalar Core Design. Micro, IEEE (Volume:32, Issue: 3 ), pp , June [7] R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan and D. M. Tullsen. Single-ISA Heterogeneous Multi-core Architectures: The Potential for Processor Power Reduction. Int l Symposium on Microarchitecture, Dec [8] H. H. Najaf-abadi, N. K. Choudhary and E. Rotenberg. Core- Selectability in Chip Multiprocessors. 18th Int l Conference on Parallel Architectures and Compilation Techniques, Sep

49 [9],, Eric Rotenberg,,, FabScalar Alpha 21264, SACSIS2012. [10] E. Rotenberg, B. H. Dwiel, E. Forbes, Z. Zhang, R. Widialaksono, R. Basu Roy Chowdhury, N. Tshibangu, S. Lipa, W. R. Davis, and P. D. Franzon. [11] N. K. Choudhary, B. H. Dwiel, E. Rotenberg. A physical design study of fabscalar-generated superscalar cores. VLSI and System-on-Chip (VLSI-SoC), 2012 IEEE/IFIP 20th International Conference on, pp , Oct [12] T. Nakabayashi, T. Sasaki, E. Rotenberg, K. Ohno and T. Kondo. Research for Transporting Alpha ISA and Adopting Multi-processor to FabScalar. Symposium on Advanced Computing Systems and Infrastructures 2012 (SACSIS2012), pp , May (in Japanese) [13] T. Okamoto, T. Nakabayashi, T. Sasaki, T. Kondo. FabCache: Cache Design Automation for Heterogeneous Multi-core Processors. 42

50 Proceedins of the 1st International Symposium on Computing and Networking, pp , Dec [14], AMBA,SWOPP2012. [15] Y. Seto, T. Nakabayashi, T. Sasaki, and T. Kondo. FabBus: A Bus Framework for Heterogeneous Multi-core processor. 28th International Technical Conferench on Circuits/Systems, Computers and Communications (ITC-CSCC2013), pp , July [16] N. K. Choudhary, S. V. Wadhavkar, T. A. Shah, H. Mayukh, J. Gandhi, B. H. Dwiel, S. Navada, H. H. Najaf-abadi and E. Rotenberg. FabScalar: Composing Synthesizable RTL Designs of Arbitrary Cores within a Canonical Superscalar Template. Proceeding of the 38th IEEE/ACM Int l Symposium on Computer Architecture (ISCA-38), pp , June [17] B. de Abreu Silva, L.A. Cuminato and V. Bonato. Reducing the overall cache miss rate using different cache sizes for Heterogeneous 43

51 Multi-core Processors. Reconfigurable Computing and FPGAs (Re- ConFig), pp. 1-6, Dec [18] P. Yiannacouras and J. Rose. A Parameterized Automatic Cache Generator for FPGAs Field-Programmable Technology (FPT), pp , Dec [19] Leon 4 and GRLIB. [20] Thomas D. Tessier, Designing, Verifying and Building an Advanced L2 Cache Sub-System using SystemC. ISCUG, April [21] Akgul, B.E.S., Mooney, V.J,PARLAK: Parametrized Lock Cache Generator Design, Automation and Test in Europe Conference and Exhibition, pp , April [22] D. Kroft., Lockup-free instruction fetch/prefetch cache organization. International Symposium on Computer Architecture Proceedings of the 8th annual symposium on Computer Architecture, pp , May [23] H. Onodera, A. Hirata, A. Kitamura, K. Kobayashi, and K. Tamaru, P2Lib:Process Portable Library and Its Generation System, Journal 44

52 of Information Processing, vol.40, no. 4, pp , April, 1999, (In Japanese). 45

53 A B 46

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei Just-In-Time PG 1,a) 1, 1 2 1 1 Just-In-Time VM Geyser Dalvik VM CaffeineMark SPECJVM 17% 1. LSI [1][2][3][4][5] (PG) Geyser [6][7] PG ON/OFF OS PG PG [7][8][9][10] Java Just-In-Time (JIT PG [10] JIT 1

More information

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Hans J. MATTAUSCH, and Tetsushi KOIDE 1 1 2 0.5 µm CMOS

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N GPU 1 1 2 1, 3 2, 3 (Graphics Unit: GPU) GPU GPU GPU Evaluation of GPU Computing Based on An Automatic Program Generation Technology Makoto Sugawara, 1 Katsuto Sato, 1 Kazuhiko Komatsu, 2 Hiroyuki Takizawa

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alternative approach using the Monte Carlo simulation to evaluate

More information

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth and Foot Breadth Akiko Yamamoto Fukuoka Women's University,

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325 社団法人人工知能学会 Japanese Society for Artificial Intelligence 人工知能学会研究会資料 JSAI Technical Report SIG-Challenge-B3 (5/5) RoboCup SSL Humanoid A Proposal and its Application of Color Voxel Server for RoboCup SSL

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

cpu2007lectureno2.ppt

cpu2007lectureno2.ppt Cache Cache Cache cache cache 17.10.2007 1 17.10.2007 2 Cache Register:FF circuits Cache:Bipolar,CMOS SRAM Main Storage:SRAM,DRAM Disk Cache:DRAM 17.10.2007 3 SRAM Cell Structure (1 bit) 17.10.2007 4 temporal

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst 情報処理学会インタラクション 2015 IPSJ Interaction 2015 15INT014 2015/3/7 1,a) 1,b) 1,c) Design and Implementation of a Piano Learning Support System Considering Motivation Fukuya Yuto 1,a) Takegawa Yoshinari 1,b) Yanagi

More information

Thesis.dvi

Thesis.dvi 25 (412M528) 1 2 1 50% 75% 18% 2 18% (ED ) 34% 3 Abstract Increasing power consumption has been becoming a major concern not only for mobile computing but also high-performance computing, and processors

More information

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System 1. (1) ( MMI ) 2. 3. MMI Personal Computer(PC) MMI PC 1 1 2 (%) (%) 100.0 95.2 100.0 80.1 2 % 31.3% 2 PC (3 ) (2) MMI 2 ( ),,,, 49,,p531-532,2005 ( ),,,,,2005,p66-p67,2005 17 Proposal of an Algorithm of

More information

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat AUTOSAR 1 1, 2 2 2 AUTOSAR AUTOSAR 3 2 2 41% 29% An Extension of AUTOSAR Communication Layers for Multicore Systems Toshiyuki Ichiba, 1 Hiroaki Takada, 1, 2 Shinya Honda 2 and Ryo Kurachi 2 AUTOSAR, a

More information

修士論文

修士論文 27 Mobile Ad Hoc Networks An Ant-based Routing Algorithm with Multi-phase Pheromone and Power-saving in Mobile Ad Hoc Networks 14T0013 Shohei Miyashita E-mail: shohei.miyashita.4j@stu.hosei.ac.jp : Abstract

More information

P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i

P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i 27 Verification of the usefulness of the data distribution method by browser cache sharing 1160285 2016 2 26 P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i Abstract Verification

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

12 DCT A Data-Driven Implementation of Shape Adaptive DCT

12 DCT A Data-Driven Implementation of Shape Adaptive DCT 12 DCT A Data-Driven Implementation of Shape Adaptive DCT 1010431 2001 2 5 DCT MPEG H261,H263 LSI DDMP [1]DDMP MPEG4 DDMP MPEG4 SA-DCT SA-DCT DCT SA-DCT DDMP SA-DCT MPEG4, DDMP,, SA-DCT,, ο i Abstract

More information

16

16 Empirical Analysis of the Efficiency of the Broadcasting Industry: Verification of Regionalism and a Proposal ABSTRACT Reforms in the broadcasting industry have recently been discussed and proposed, and

More information

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan SNS 1,a) 2 3 3 2012 3 30, 2012 10 10 SNS SNS Development of Firefighting Knowledge Succession Support SNS in Tokyo Fire Department Koutarou Ohno 1,a) Yuki Ogawa 2 Hirohiko Suwa 3 Toshizumi Ohta 3 Received:

More information

先進的計算基盤システムシンポジウム SACSIS 2011 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/25 Combining Bimode Bimode-Plus Agree Hybr

先進的計算基盤システムシンポジウム SACSIS 2011 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/25 Combining Bimode Bimode-Plus Agree Hybr Combining Bimode Bimode-Plus Agree Hybrid 5 SPECint2000 9% A Branch Predictor Using Miss-prediction Bias Lin Meng and Shigeru Oyanagi Modern processors exploit instruction level parallelism by deeper pipeline

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

DTN DTN DTN DTN i

DTN DTN DTN DTN i 28 DTN Proposal of the Aggregation Message Ferrying for Evacuee s Data Delivery in DTN Environment 1170302 2017 2 28 DTN DTN DTN DTN i Abstract Proposal of the Aggregation Message Ferrying for Evacuee

More information

DRAM L2 L2 DRAM L2 DRAM L2 RAM DRAM 3 DRAM 3. 1 DRAM SRAM/DRAM 2. SRAM/DRAM DRAM LLC Last Level Cache 2 2) DRAM 1(A) (B) LLC L2 DRAM DRAM L2 SRAM DRAM

DRAM L2 L2 DRAM L2 DRAM L2 RAM DRAM 3 DRAM 3. 1 DRAM SRAM/DRAM 2. SRAM/DRAM DRAM LLC Last Level Cache 2 2) DRAM 1(A) (B) LLC L2 DRAM DRAM L2 SRAM DRAM SRAM/DRAM 1 1 2 2 3 DRAM DRAM 2 SRAM/DRAM 1) 1) L2 3.01 1.17 Run-time Operation-Mode Management on SRAM/DRAM Hybrid Cache SHINYA HASHIGUCHI, 1 NAOTO FUKUMOTO, 1 KOJI INOUE 2 and KAZUAKI MURAKAMI 2 3D stacked

More information

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori Proposal and Characteristics Evaluation of a Power Generation System Utilizing Waste Heat from Factories for Load Leveling Pyong Sik Pak, Member, Takashi Arima, Non-member (Osaka University) In this paper,

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

A Study on Traffic Characteristics in Multi-hop Wireless Networks 2010 3 Yoichi Yamasaki ( ) 21 Local Area Network (LAN) LAN LAN LAN (AP, Access Point) LAN AP LAN AP AP AP (MWN, Multi-hop Wireless Network)

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,,

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.,, 464 8601 470 0393 101 464 8601 E-mail: matsunagah@murase.m.is.nagoya-u.ac.jp, {ide,murase,hirayama}@is.nagoya-u.ac.jp,

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

IPSJ SIG Technical Report Vol.2015-HPC-150 No /8/6 I/O Jianwei Liao 1 Gerofi Balazs 1 1 Guo-Yuan Lien Prototyping F

IPSJ SIG Technical Report Vol.2015-HPC-150 No /8/6 I/O Jianwei Liao 1 Gerofi Balazs 1 1 Guo-Yuan Lien Prototyping F I/O Jianwei Liao 1 Gerofi Balazs 1 1 Guo-Yuan Lien 1 1 1 1 1 30 30 100 30 30 2 Prototyping File I/O Arbitrator Middleware for Real-Time Severe Weather Prediction System Jianwei Liao 1 Gerofi Balazs 1 Yutaka

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

LAN LAN LAN LAN LAN LAN,, i

LAN LAN LAN LAN LAN LAN,, i 22 A secure wireless communication system using virtualization technologies 1115139 2011 3 4 LAN LAN LAN LAN LAN LAN,, i Abstract A secure wireless communication system using virtualization technologies

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

橡自動車~1.PDF

橡自動車~1.PDF CIRJE-J-34 2000 10 Abstract In this paper, we examine the diversity of transaction patterns observed between a single pair of one automaker and one auto parts supplier in Japan. Assumed reasonably that

More information

Microsoft PowerPoint MPSoC-KojiInoue-web.pptx

Microsoft PowerPoint MPSoC-KojiInoue-web.pptx Adaptive Execution on 3D Microprocessors Koji Inoue Kyushu University 1 Outline Why 3D? Will 3D always work well? work well? Support Adaptive Execution! Memory Hierarchy Run time Optimization Conclusions

More information

卒業論文2.dvi

卒業論文2.dvi 15 GUI A study on the system to transfer a GUI sub-picture to the enlarging viewer for operational support 1040270 2004 2 27 GUI PC PC GUI Graphical User Interface PC GUI GUI PC GUI PC PC GUI i Abstract

More information

WMN Wi-Fi MBCR i

WMN Wi-Fi MBCR i 27 WMN Proposal of routing method that improves transmission capability in WMN 1185081 2016 2 26 WMN Wi-Fi MBCR i Abstract Proposal of routing method that improves transmission capability in WMN KOBAYASHI

More information

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels).

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig. 1 The scheme of glottal area as a function of time Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig, 4 Parametric representation

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP Android 1 1 1 1 1 Dominic Hillenbrand 1 1 1 ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GPIO API GPIO API GPIO MPEG2 Optical Flow MPEG2 1PE 0.97[W] 0.63[W] 2PE 1.88[w] 0.46[W] 3PE 2.79[W] 0.37[W] Optical

More information

NotePC 8 10cd=m 2 965cd=m 2 1.2 Note-PC Weber L,M,S { i {

NotePC 8 10cd=m 2 965cd=m 2 1.2 Note-PC Weber L,M,S { i { 12 The eect of a surrounding light to color discrimination 1010425 2001 2 5 NotePC 8 10cd=m 2 965cd=m 2 1.2 Note-PC Weber L,M,S { i { Abstract The eect of a surrounding light to color discrimination Ynka

More information

[2] OCR [3], [4] [5] [6] [4], [7] [8], [9] 1 [10] Fig. 1 Current arrangement and size of ruby. 2 Fig. 2 Typography combined with printing

[2] OCR [3], [4] [5] [6] [4], [7] [8], [9] 1 [10] Fig. 1 Current arrangement and size of ruby. 2 Fig. 2 Typography combined with printing 1,a) 1,b) 1,c) 2012 11 8 2012 12 18, 2013 1 27 WEB Ruby Removal Filters Using Genetic Programming for Early-modern Japanese Printed Books Taeka Awazu 1,a) Masami Takata 1,b) Kazuki Joe 1,c) Received: November

More information

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F Vol. 42 No. 4 Apr. 2001 VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating Frequencies Maki Horita, Tsutomu Yoshinaga, Kanemitsu

More information

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2 FFT 1 Fourier fast Fourier transform FFT FFT FFT 1 FFT FFT 2 Fourier 2.1 Fourier FFT Fourier discrete Fourier transform DFT DFT n 1 y k = j=0 x j ω jk n, 0 k n 1 (1) x j y k ω n = e 2πi/n i = 1 (1) n DFT

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i

WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i 26 WebRTC The data distribution system using browser cache sharing and WebRTC 1150361 2015/02/27 WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i Abstract The data distribution

More information

PC PDA SMTP/POP3 1 POP3 SMTP MUA MUA MUA i

PC PDA SMTP/POP3 1 POP3 SMTP MUA MUA MUA i 21 The private mailers synchronization operation for plural terminals 1125083 2010 3 1 PC PDA SMTP/POP3 1 POP3 SMTP MUA MUA MUA i Abstract The private mailers synchronization operation for plural terminals

More information

知能と情報, Vol.30, No.5, pp

知能と情報, Vol.30, No.5, pp 1, Adobe Illustrator Photoshop [1] [2] [3] Initital Values Assignment of Parameters Using Onomatopoieas for Interactive Design Tool Tsuyoshi NAKAMURA, Yuki SAWAMURA, Masayoshi KANOH, and Koji YAMADA Graduate

More information

fiš„v8.dvi

fiš„v8.dvi (2001) 49 2 333 343 Java Jasp 1 2 3 4 2001 4 13 2001 9 17 Java Jasp (JAva based Statistical Processor) Jasp Jasp. Java. 1. Jasp CPU 1 106 8569 4 6 7; fuji@ism.ac.jp 2 106 8569 4 6 7; nakanoj@ism.ac.jp

More information

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral

Shonan Institute of Technology MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Paral MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 Ships1 * ** ** ** Development of a Small-Mid Range Parallel Computer Ships1 Makoto OYA*, Hiroto MATSUBARA**, Kazuyoshi SAKURAI** and Yu KATO**

More information

2 ( ) i

2 ( ) i 25 Study on Rating System in Multi-player Games with Imperfect Information 1165069 2014 2 28 2 ( ) i ii Abstract Study on Rating System in Multi-player Games with Imperfect Information Shigehiko MORITA

More information

28 Horizontal angle correction using straight line detection in an equirectangular image

28 Horizontal angle correction using straight line detection in an equirectangular image 28 Horizontal angle correction using straight line detection in an equirectangular image 1170283 2017 3 1 2 i Abstract Horizontal angle correction using straight line detection in an equirectangular image

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

24 LED A visual programming environment for art work using a LED matrix

24 LED A visual programming environment for art work using a LED matrix 24 LED A visual programming environment for art work using a LED matrix 1130302 2013 3 1 LED,,,.,. Arduino. Arduino,,,., Arduino,.,, LED,., Arduino, LED, i Abstract A visual programming environment for

More information

IT i

IT i 27 The automatic extract of know-how search tag using a thesaurus 1160374 2016 2 26 IT i Abstract The automatic extract of know-how search tag using a thesaurus In recent years, a number of organizational

More information

先端社会研究 ★5★号/4.山崎

先端社会研究 ★5★号/4.山崎 71 72 5 1 2005 7 8 47 14 2,379 2,440 1 2 3 2 73 4 3 1 4 1 5 1 5 8 3 2002 79 232 2 1999 249 265 74 5 3 5. 1 1 3. 1 1 2004 4. 1 23 2 75 52 5,000 2 500 250 250 125 3 1995 1998 76 5 1 2 1 100 2004 4 100 200

More information

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2 CHLAC 1 2 3 3,. (CHLAC), 1).,.,, CHLAC,.,. Suspicious Behavior Detection based on CHLAC Method Hideaki Imanishi, 1 Toyohiro Hayashi, 2 Shuichi Enokida 3 and Toshiaki Ejima 3 We have proposed a method for

More information

840 Geographical Review of Japan 73A-12 835-854 2000 The Mechanism of Household Reproduction in the Fishing Community on Oro Island Masakazu YAMAUCHI (Graduate Student, Tokyo University) This

More information

Comparative Study of Media Use Capacity for 8th Grade Students Yoshiro Kawakami Hirohisa Suzuki The world is experiencing a variety of changes resulting from the widespread diffusion of information technology.

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya,

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 565-0871 1 5 E-mail: {s-kasihr, wakamiya, murata}@ist.osaka-u.ac.jp PC 70% Design, implementation, and evaluation

More information

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 CPU, CPU., Memory-bound CPU,., Memory-bo

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 CPU, CPU., Memory-bound CPU,., Memory-bo CPU, CPU, Memory-bound CPU,, Memory-bound ( ) Performance Monitoring Counter(PMC), PMC (nmi watchdog), PMC CPU., PMC, CPU, Memory-bound, CPU-bound,, CPU,, PMC,,,, CPU, NPB 8, 5% CPU, CPU, 3%, 5% CPU, IS

More information

Vol.-ARC-8 No.8 Vol.-OS- No.8 // DRAM DRAM DRAM DRAM ) DRAM. DRAM. ) DRAM DRAM DRAM DRAM DRAM SRAM DRAM MB B MB DRAM SRAM.. DRAM DRAM SRAM DRAM SRAM C

Vol.-ARC-8 No.8 Vol.-OS- No.8 // DRAM DRAM DRAM DRAM ) DRAM. DRAM. ) DRAM DRAM DRAM DRAM DRAM SRAM DRAM MB B MB DRAM SRAM.. DRAM DRAM SRAM DRAM SRAM C IPSJ SIG Technical Report Vol.-ARC-8 No.8 Vol.-OS- No.8 // DRAM- DRAM DRAM DRAM % % On-Chip Memory Architecture for DRAM Stacking Microprocessors SHINYA HASHIGUCHI, TAKATSUGU ONO, KOJI INOUE and KAZUAKI

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO and Masaru KOBAYASHI Chassis Engineering Management

More information

Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Social Networking

Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Social Networking 23 An attribute expression of the virtual window system communicators 1120265 2012 3 1 Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

Vol. 48 No. 4 Apr LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for L

Vol. 48 No. 4 Apr LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for L Vol. 48 No. 4 Apr. 2007 LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for Learning to Associate LAN Construction Skills with TCP/IP

More information

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new electric wave projector is proposed in this paper. The

More information

189 2015 1 80

189 2015 1 80 189 2015 1 A Design and Implementation of the Digital Annotation Basis on an Image Resource for a Touch Operation TSUDA Mitsuhiro 79 189 2015 1 80 81 189 2015 1 82 83 189 2015 1 84 85 189 2015 1 86 87

More information

% 95% 2002, 2004, Dunkel 1986, p.100 1

% 95% 2002, 2004, Dunkel 1986, p.100 1 Blended Learning 要 旨 / Moodle Blended Learning Moodle キーワード:Blended Learning Moodle 1 2008 Moodle e Blended Learning 2009.. 1994 2005 1 2 93% 95% 2002, 2004, 2011 2011 1 Dunkel 1986, p.100 1 Blended Learning

More information

,.,.,,.,. X Y..,,., [1].,,,.,,.. HCI,,,,,,, i

,.,.,,.,. X Y..,,., [1].,,,.,,.. HCI,,,,,,, i 23 Experimental investigation of Natural Use Profiles of Pen Pressure, Tilt and Azimuth 1120230 2012 3 1 ,.,.,,.,. X Y..,,., [1].,,,.,,.. HCI,,,,,,, i Abstract Experimental investigation of Natural Use

More information

3_23.dvi

3_23.dvi Vol. 52 No. 3 1234 1244 (Mar. 2011) 1 1 mixi 1 Casual Scheduling Management and Shared System Using Avatar Takashi Yoshino 1 and Takayuki Yamano 1 Conventional scheduling management and shared systems

More information

56 OS OS OS OS 1 OS HDD OS 1 OS HDD HDD OS OS OSOS HDD 図 1 二重キャッシュ環境 3. 負の参照の時間的局所性 3.1 参照の局所性 Locality of Reference Temporal locality Spatial localit

56 OS OS OS OS 1 OS HDD OS 1 OS HDD HDD OS OS OSOS HDD 図 1 二重キャッシュ環境 3. 負の参照の時間的局所性 3.1 参照の局所性 Locality of Reference Temporal locality Spatial localit 116 26 4 1 2 2 1 3 An Analysis of Locality of Reference in Virtualized Environment Hiroki SUGIMOTO 1, Kousuke TAKEUCHI 2, Kouya HINAGAWA 2 and Saneyasu YAMAGUCHI 1 3 Abstract As cloud computing has spread

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU 1 2 2 1, 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KUNIAKI SUSEKI, 2 KENTARO NAGAHASHI 2 and KEN-ICHI OKADA 1, 3 When there are a lot of injured people at a large-scale

More information

1 1 tf-idf tf-idf i

1 1 tf-idf tf-idf i 14 A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles 1055104 2003 1 31 1 1 tf-idf tf-idf i Abstract A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles

More information

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 657 8531 1 1 E-mail: {soda,matsubara}@ws.cs.kobe-u.ac.jp, {masa-n,shinsuke,shin,yosimoto}@cs.kobe-u.ac.jp,

More information

165--184 (責)江.indd

165--184 (責)江.indd LD ADHD One Perspective on Supporting Japanese Language Learners with LD/ADHD: An Analysis of Teachers Support in the Japanese in Context Course NAKAGAWA Yasuhiro In recent years, there has been increasing

More information