PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 大阪大学先端アナログ技術セミナー 2012 年 1 月 23 日 2012 年 9 月 16 日 rev p.64 追加 デジタルアシスト アナログ技術 微細 CMOS の強みを生かす回路技術 小林春夫 群馬大学大学院工学研究科電気電子工学専攻 群馬県桐生市天神町 1 丁目 5 番 1 号電話 0277 (30) 1788 FAX: 0277 (30) k_haruo@el.gunma-u.ac.jp 1

2 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 2

3 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 3

4 デジタル技術をささえる AD/DA 変換器 自然界の信号はアナログ LSI での信号処理はデジタル 音 温度 圧力 ビデオ サーボ 4

5 デジタル信号の特徴 (1) 時間の離散化 ( サンプリング ) アナログ信号 サンプリング点 Ts = 2π / ωs 一定時間間隔のデータを取り 間のデータは捨ててしまう 5

6 デジタル信号の特徴 (2) 振幅の離散化 ( 信号レベルの数値化 ) アナログ信号 デジタル信号 Ts = 2π / ωs デジタル信号はアナログ信号レベルを四捨五入 ( または切り捨て ) 6

7 究極は自然界はデジタル 量子論を工学的に解釈すれば自然界はデジタルである ( 稲村實群馬大学名誉教授 ) 半導体デバイスの微細化が進むにつれ 自然界はデジタル が 集積回路設計分野で見えてきつつある 7

8 見方を変える必要がでてくる よく言われている 自然界はアナログ デジタルはアナログの近似 は誤り Max Planck の量子論を工学的に解釈すると 自然界はデジタル アナログはデジタルの近似 ( 稲村實先生 ) 8

9 数学も見直す必要あり? 微積分等の解析学 ( アナログの数学 ) は 物理学と密接に結びついている 離散数学 ( デジタルの数学 ) を中心とし それと連続性をもった近似が 解析学であるべき (?) 9

10 半導体デバイスのノイズ 電流 : 電子群の平均的な移動 ノイズ : 電子が有限個 それぞれ独立した動き 半導体デバイスのノイズは 電荷の運び手がデジタルであるがゆえに生じる ノイズの解析式は デジタルをアナログで 近似したもの 10

11 CMOS 微細化で 自然界はデジタル が見えてきている MOS チャネル内の電子の有限個数が見えてきている MOSチャネル長が近未来に原子レベルに近づくことが外挿できる 11

12 世界観を変えた研究 Max Planck: アナログとデジタルの世界観を変える 自然界はデジタルである Albert Einstein: 時間と空間の世界観を変える 時間 空間は相対的である 12

13 特定の学問 技術 それを用いた産業が発展する アナログ回路の特殊なものがデジタル回路 デジタルが急速に発展 デジタルの特殊なものがメモリ 半導体メモリが急速に発展 (( 元 ) アジレント山田庸一郎氏 ) 13

14 さらに考察すれば 究極のデバイスは CMOS 全てのデバイスは CMOS に収束する ( 東工大松澤昭先生 ) 物理学の一分野にすぎなかった エレクトロニクスは学問的 産業的に急速に 発展 14

15 さらに考察すれば ( 続き ) デジタル回路での同期設計 数学 物理学の分野で線形を扱うもの線形代数ニュートン運動方程式マクスウェル電磁気学方程式 物理学は線形なものを扱うので急速に発展した 15

16 デジタル CMOS はブラックホール 技術 産業の流れ : - アナログをデジタルに置き換える - 化合物半導体 バイポーラトランジスタを CMOSで置き換える その逆は ( ほとんど ) ない 16

17 計測制御機器とアナログ回路 計測器 ( 電子計測器 ) 制御システム ( ファクトリーオートメーション ): 例 : アナログ回路は重要 デジタルオシロスコープ内の AD 変換器 17

18 アナログ電子回路に計測制御技術が必要 微細半導体アナログIC, ミクスドシグナルIC 高性能化のために計測技術 制御技術の考え方がより重要 チップ内計測制御技術 18

19 アナログ回路と計測工学 ADC/DAC のチップ内自己校正校正技術は以前から電子計測器で使用 ADC/DAC の非線形性 電源電圧 電流 温度 基板ノイズ ジッタ タイミングの チップ内計測技術 がより重要 計測した値に基づき チップ内制御 信号処理 校正 を行う アナログ回路のテスト法 テスト容易化設計も重要 19

20 アナログ回路と制御工学 微細 CMOSではバイアス回路が重要バイアス電圧制御 (regulation) 自動可変ゲインアンプ (AGC) アナログフィルタの自動調整 電源回路の制御 設計 解析手法 : ラプラス変換 ステップ応答 ボード線図 ナイキスト安定判別等の線形システム理論 アナログ回路と計測 制御技術は密接な関係 20

21 現在のエレクトロニクスでの アナログ技術の位置づけ デジタルは偉大な技術 アナログ技術の理解はデジタル技術への Appreciation ( 敬意 ) からはじまる 現在要求されているアナログ技術は デジタル技術を生かすためのもの デジタル時代のアナログ技術 21

22 デジタル技術の発展は 産業 社会を変えた アナログ : 連続信号 坂道 デジタル : 0, 1 階段 デジタルは産業的に技術のコピーを容易化キャッチアップ早いインターフェースを容易化エレクトロニクス産業の水平分業化 ( 産業構造が変わる ) デジタルにより社会的に人は数値で管理されるようになった 22

23 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 23

24 低電源電圧でのアナログ CMOS 2000 年 8 月半導体メーカー研究所訪問 0.35um CMOS, 3V の時代研究所長さん CMOS 微細化が進み電源電圧がやがて1V 近辺になるとアナログ回路設計が難しくなってくるのではないか 24

25 なぜ RF CMOS か 携帯電話の送受信回路が CMOS1 チップ化 日本セットメーカー関係者 RF CMOS の重要な点は バイポーラ RF 回路を CMOS で置き換えることではない RF 回路も CMOS 化することでデジタル ベースバンドアナログ (ADC 等 ) と 1 チップ化できることが最大のメリット 1990 年代前半に米国 Fabless メーカーから提案された 25

26 RF CMOS は高周波技術だけでは 産業化できなかった 1990 年代前半 日本メーカー : CMOS は特性ばらつきが大きく産業化難 Abidi 先生 (UCLA): 米国 Fabless メーカーが RF CMOS を製品化 CMOS 特性のばらつき大をデジタル補正技術を開発して歩留まり 90% 以上に 従来の RF 研究者は何もわかっていない 26

27 デジタルアシスト技術のテストの問題 ATEメーカー技術者 自己校正 冗長性によるデジタルアシストアナログ回路の設計の立場からの議論はあるが そのテストの問題をいう人はいない LSIテスト現場では自己校正回路のテストの問題が顕在化してきている TI 社の Digital Radio Processor テスト容易化技術が多々使用されていることが推測できる (E. Obaldia, IEEE VLSI Test Symp. 2010) 27

28 Digitizing the Radio to the Antenna? Will Radios Still Need Analog in 2010? Organizer: A. Matsuzawa, M. Huang, Moderator: P. Kignet Panelists: T. Arnaud, Q. Huang, C.-M. Hung, H. Kobayashi, I. Mehr, S. Tanaka Analog Rump Session, Symposium on VLSI Circuits, Kyoto (June 2005). Digital-assisted analog technology (Analog performance improvement supported by digital technology) - Just RF circuit technology is not enough. - In SOC, powerful CPUs are available. - The first chip should work. - CMOS scaling generation independent system is desirable. Digital calibration and dynamic element matching techniques will realize digital-rich analog-minimum radio system. Signal processing and control theory people are from Jupiter. 28

29 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 ( 私論 ) 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 29

30 f T [GHz] Gate length [nm] Vdd [V] 半導体はナノの時代へ Technology roadmap L[nm] f T [GHz] Technology roadmap Vdd year year CMOS プロセス微細化 高速動作 ( 時間領域 : 分解能向上 ) 耐圧低下 (Vdd 小 ), ドレイン抵抗 小 30

31 ナノ CMOS でのアナログの パラダイムシフトの必要性 近年 LSI の超大規模化 超微細化 デジタル回路 チップ面積縮小 高速動作 低消費電力 従来アナログ回路 素子ばらつきの増大 低電圧化による SNR 劣化 必ずしも微細化の恩恵を受けるわけでない 短チャネル効果 狭チャネル効果 スレッショルド電圧ミスマッチ 31

32 半導体プロセスと回路ー目的と手段ー デジタルは半導体プロセス微細化のトレンドに適合 アナログは適しているとは限らない 半導体ロードマップの呪縛にかかった発想 表現半導体プロセスの微細化はデジタルの低消費電力 高速 高集積化 低コスト化のために行う デジタルでメリットなければ半導体微細化をする理由なし 微細化プロセスでもデジタルは必ず動く 高性能 低コスト 32

33 デジタル アシスト アナログ技術 CMOS 微細化にともないデジタルは大きな恩恵高集積化 低消費電力化 高速化 低コスト化 アナログは必ずしも恩恵を受けない電源電圧低下 出力抵抗小 ノイズ増大 デジタル技術を用いてアナログ性能向上する技術 が重要 デジタルリッチ アナログミニマムな構成 が重要 SOC 内 μcontroller はPAD 程度のチップ面積 33

34 デジタル信号の特徴 (1) 時間の離散化 ( サンプリング ) アナログ信号 サンプリング点 Ts = 2π / ωs 一定時間間隔のデータを取り 間のデータは捨ててしまう 34

35 デジタル信号の特徴 (2) 振幅の離散化 ( 信号レベルの数値化 ) アナログ信号 デジタル信号 Ts = 2π / ωs デジタル信号はアナログ信号レベルを四捨五入 ( または切り捨て ) 35

36 More Moore のアナログ 回路技術の 4 つの領域 振幅連続 振幅離散 時間連続領域 1 アナログ領域 3 TDC PWM 時間離散領域 2 スイッチドキャパシタサンプリング回路 領域 4 デジタル 領域 1: バイポーラ 化合物が得意領域 2,3,4: CMOSが得意 4 つの領域全てを用いるのがナノ CMOS アナログ回路技術 36

37 ナノ CMOS 時代の新アナログ微細 CMOS でアナログ高性能化 微細デジタル CMOS 4 つの回路領域を全て用いる デジタルリッチ 高速サンプリング 時間領域 回路 設計手法 検証手法 テストをデジタル的に行う 小チップ面積 低消費電力 高性能化 設計容易化 プロセス ポータビリテイ スケーラビリテイ 初回の試作で動作 37

38 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続 ( 純粋アナログ回路 ) 領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 38

39 純粋なアナログ回路 ( 領域 1: 振幅連続 時間連続 ) RFアナログ回路でもトランジスタレベルでは標準 CMOSロジック回路に収束していくーインバータ型演算トランスコンダクタンス増幅回路 (Nauta OTA) Vin ー様々なRF 回路 Vinp P N Vout 0 Vinn CMOS 標準ロジック ( インバータ ) P N P N P P P P N N N N Nauta OTA Von Vop 39

40 MOS の全ての動作領域を使用 飽和領域 (2 乗特性領域 ) 速度飽和領域線形領域サブスレショルド領域 40

41 微細 CMOS は素子特性マッチングに有利に働く - ある半導体メーカー技術者 - 同じチップ面積なら微細 CMOS のほうが 高度な製造装置使用のため マッチングが良くなる ミスマッチを補正するための 余分な回路が不要 実測でも検証 アンチスケーリングアナログ技術 41

42 デジタルアシストと別のアプローチ 智者の慮は必ず利害に雑 ( まじ ) う 孫子 単に容量 トランジスタのサイズを大きくする R,C 等のばらつきの小さいプロセスを使用する 微細 CMOS では良い製造装置を使用するので ミスマッチは小さくなる 従来手法の延長であるが Time-to-Market が短くなる 思いもしないトラブル発生の確率が小さい Best ではないかもしれないが現実的選択の一つか 42

43 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 43

44 ナノ CMOS でのサンプリング技術 ( 領域 2: 振幅連続 時間離散 ) ナノ CMOS FET の余裕ある高速特性 高周波特性を生かす設計が重要 高周波回路 ナノ CMOS を用いた RF 回路ではシステム仕様に比べてトランジスタ高周波特性 (ft) に余裕がある ( 東京工業大学石原昇先生 ) 高速サンプリングにより電源ノイズ 基板ノイズ 量子化ノイズ ジッタ等の折り返しノイズ低減アナログフィルタの簡単化 44

45 Voltage オーバーサンプリング - 時間領域 - 量子化データ入力信号 1/fs fs Time 1/2fs 2fs Time オーバーサンプリングにより入力信号の再現性が高まる 45

46 サンプリング ミキサダウンサンプリング - 時間領域 - Down-sampling Vin RF signal Baseband signal Sampling LPF で高周波成分をカット LPF Vout 46

47 サンプリング ミキサダウンサンプリング - 周波数領域 - Frequency conversion Band selection fs 2fs 3fs 4fs 5fs Sampling pulses fs 2fs 3fs 4fs 5fs RF signal Baseband signal fs 2fs 3fs 4fs 5fs After sampling Freq. Freq. Freq. fs 2fs 3fs 4fs 5fs Lowpass filter fs 2fs 3fs 4fs 5fs After filtering Freq. Freq. 47

48 スイッチド キャパシタ回路 V1 clk clk C clk clk V2 容量 C とスイッチで等価的に抵抗 R を実現 MOS スイッチ使用 バイポーラでは実現困難 米国カルフォルニア大学の大学院生が考案 多くの製品に使用 R R = T / C T: clk 周期 clk 48 時間

49 ソフトウェア無線用受信機 (TI 社 UCLA) 初段でキャリア周波数程度の高速サンプリング プログラマブル アナログ サンプリング フィルタ マルチレート信号処理 周波数領域 ( 伝達関数 ) と時間領域 ( 畳み込み積分 ) 49

50 デルタ シグマ AD/DA 変調技術 アナログ最小 デジタルリッチな構成 スピードを精度に変換 高精度なデバイス 回路不要 ナノ CMOS で高精度な ADC/DAC を 実現するのに適した構成 経験則 : デルタシグマ変調技術を使うとうまくいく - DC-DC 変換器制御 - 完全デジタル PLL - デルタシグマ TDC 50

51 デルタシグマ変調による高精度化 ランブ入力 + - 積分器 3b DAC 3b ADC デジタル出力 疎 密 内部の ADC は低分解能 疎密によりより細かいデジタル値を表現できる 51

52 デルタシグマ AD 変調器の 入力 入出力波形 変調 AD 出力 + 入力 - デジタル LPF 積分器 1b DAC LPF 出力 1b ADC PDM( パルス密度変調 ) フーリエ級数展開 高周波成分大 ローパスフィルタ 高周波成分 ( ノイズ ) 除去 元信号復元 52

53 高速サンプリングによる高精度化 OSR=2^8 OSR=2^10 OSR=2^16 OSR が大きいほど ON,OFF の回数が増える 細かい値が表現可能 OSR: OverSampling Ratio ( オーバーサンプリング比 ) 53

54 受信機方式の比較 ダイレクト コンバージョン受信機 Zero-IF f LO Image DC DC Signal offset 1/f noise Low-IF 受信機 offset 1/f noise Signal Low-IF Frequency f LO Frequency RF ベースバンド Zero-IF イメージ成分は生じない DC オフセット 1/f ノイズ影響大 RF Low-IF イメージ成分も AD 変換 offset 1/f noise Signal Low-IF f LO 消費電力の無駄 複素バンドパス AD 変調器その問題を解決 DC Frequency 54

55 複素信号処理 Complex signal is NOT complex 物理的に 複素信号 は存在しない I, Q の2つの信号を V = I + j Q と数学的に表現理論的に見通しがよくなる I: In-phase ( 同相信号 ) Q: Quadrature phase ( 直交位相信号 ) 55

56 複素バンドパス AD 変調器を用いた低 IF 受信機 携帯電 無線 LAN ブルートゥース用 RF Input RF Front-end フィルタ & アンプ ~ π /2 Low-IF back-end 複素 BP フィルタ 複素 BPΔ Σ 変調器 Digital back-end I Q DSP Analog Digital イメージ成分を AD 変換しない 低消費電力 複素信号処理 ダイナミック マッチングにより実現 56

57 複素バンドパス AD 変調器のブロック DAC I Iin Qin + Analog Input H(z) Complex Banpass Filter E i ADC I ADC Q E q Iout Digital Output Qout DAC Q I out jq H 1 H out (I in jq in ) 1 1 H (E i je q ) 複素バンドパス ノイズ シェープ 57

58 複素バンドパス AD 変調器の内部構成 チップ写真 I Q 信号は上下の経路を交互的に使用 I Q 経路間ミスマッチの影響を軽減 マルチビット DAC のダイナミック マッチングによる線形化 58

59 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 59

60 時間領域アナログ回路 ( 領域 3: 振幅離散 時間連続 ) CMOS の微細化 電源電圧の低下 Vdd 小 (1V 以下 ) スイッチング時間 高速 微細 CMOS 高性能化のためには アナログ信号での電圧分解能 ( 数十ピコ秒 ) t V V 電圧分解能 時間分解能 低下 デジタル信号端遷移の時間分解能 高速 60 t

61 2 名のパイオニア 中心人物 CMOS TDC 回路の考案者日本人の高エネルギー加速器実験の研究者新井康夫氏 1988 年 VLSI Circuit Symp にて発表 All Digital PLL の考案者 Bogdan Staszewski 氏 ( 元 TI 社 ) 同社にて Digital Radio Processor のプロジェクト推進 微細 MOS にては 時間分解能は電圧分解能より優れている 61

62 時間 を信号として積極利用は 常識をはずれることに注意 Lateral Thinking : 水平思考 デジタル回路でトラブルのは メモリインターフェース回路 タイミング関係 アナログ回路で難しいのは 信号の時間遷移 高周波特性 回路で時間軸の設計は難しい のが常識 62

63 時間領域回路の特徴 ー電圧 電流とは異なる - リング発振回路を利用可 基準信号 fref から正確に fref/2, fref/4, の信号を生成可能 ( 電圧 Vref から正確に Vref/2, Vref/4,.. は生成は難しい ADC/DAC 設計では重要 ) クロック同期キリヒホッフの法則に対応 時間差は増幅できる ( 時間差増幅回路 : 付録参照 ) 時間は保持 (hold) が困難 ジッタ 位相ノイズ : 難しい課題 63

64 時間領域回路の特徴 (2) ー電圧 電流とは異なる - 電圧領域 : 使用できる電圧は電源電圧まで 時間領域 : 時間は無限に続くダイナミックレンジを無限大にできる積分型 ADC,ΔΣADCが高分解能化できる理由 時間領域アナログ回路 : 時間方向に情報をもつしかし振幅は2 値 (Vss, Vdd) デジタル回路で構成できる ただし遅延の制御 調整 補正が必要 64

65 タイムデジタイザ回路 (TDC) ー時間をデジタル計測ー ref(t) τ τ τ τ T D Q D Q D Q in(t) D0 D1 D2 ref D0=1 D1=1 D2=1 D3=0 D4=0 Encoder Dout ディレイタップ何段に相当するかを測定 時間分解能 τ in デジタル回路で構成 CMOS 微細化とともに性能向上 65

66 自己校正機能を備えた TDC 回路の構成 START M U X Test mode D Q D Q D Q D Q D Q D Q D Q STOP M U X DFF で 1 の出力を数える回路 ヒストグラムエンジン & デジタル誤差補正 Dout 66

67 自己校正機能を備えた TDC 回路の構成 START M U X 1 通常モード D Q D Q D Q D Q D Q D Q D Q Test mode STOP M U X DFF で 1 の出力を数える回路 デジタル誤差補正 Dout 67

68 自己校正機能を備えた TDC 回路の構成 START M U X 1 テストモード D Q D Q D Q D Q D Q D Q D Q Test mode STOP M U X 両方の遅延線はリング発振回路として発振する DFF で 1 の出力を数える回路 ヒストグラムエンジン Dout 68

69 1 になった数 TDC 自己校正の原理 ( ヒストグラム法 ) テストモード 両方のリング発振器は同期していない ( 無相関 ) TDC が完全に線形 各出現コードの確率が等しい 充分多くの点数をとれば各デジタルコードのヒストグラムは同一になる 逆に TDC のヒストグラムデータから DNL, INL を計算 code 69

70 TDC 自己校正の原理 ( 非線形性の同定 ) TDC が非線形の場合 : 遅延ばらつきによって生じる INL をヒストグラムより求め逆関数を計算 Histogram D D D 4 TDC デジタル出力 70

71 TDC 自己校正の原理 ( 非線形性の補正 ) 通常モード 非線形性の逆関数をデジタル的にかける 線形性が得られる n n T T 71

72 1 非線形性の自己校正 Histogram 実際のヒストグラム 2 n TDC 非線形性を計算 INL TDC が非線形 3 n TDC デジタル出力 Dout f (T) 4 Histogram 理想のヒストグラム T 逆関数をかけることで補正 TDC が線形を示す 補正された TDC 出力 Dout T TDC digital output 72

73 時間領域 ADC コンパレータ 1 個 Ain Filter Aref Dout Comparator cosω t 大部分デジタル 提案 ADC Time to Digital Converter CLK Tout 群馬大学社会人博士修了小室貴紀氏考案 高速 高精度なサンプルホールド回路不要 非同期サンプリング デジタル信号処理が複雑 アナログの問題 デジタルの問題 73

74 Signal Level Signal Level Signal Level 時間領域 ADC の動作 Ain Filter Aref Dout comparator cosω t Time to digital converter CLK Tout 1 Input Signal 入力信号 Signal Time コンパレータ Reference Cosine Signal Time 基準余弦波 クロック周期 = 基準余弦波周期 Comparator Output Reference Clock Tout1 Tout2 Tout3 Time Time 74

75 Signal Level 時間領域 ADC の原理 Ain Filter Aref comparator cosω t Dout Time to digital converter CLK Tout 1 Sampling Principle 時間 t を測定 t 入力信号 Ain 基準余弦波 非同期サンプリング Vref 基準余弦波から振幅 基準余弦波 : t n T V ref t Acos 2 T ( t) arccos t Acos 2 T A in ( t) Ain( t) A 75

76 TDC を用いたデジタル制御電源用 AD 変換器コロラド大学 ( 米 ) アナログ入力 (VDD) テスト信号 遅延セル サンプル信号 エンコーダ デジタル出力 76

77 TDC を用いたデジタル制御電源用 AD 変換器の動作 77 アナログ入力信号が 0.6V ( バッファ遅延は 160ps) 時間間隔 T は固定 テスト信号 t 1 t 2 t 3 t 4 t 5 t 6 160ps サンプル信号 160ps 160ps 160ps 160ps 160ps q 1 =1 q 2 =1 q 3 =0 q 4 =0 q 5 =0 q 6 =0

78 TDC を用いたデジタル制御電源用 AD 変換器の動作 78 テスト信号 t 1 t 2 t 3 t 4 t 5 アナログ入力信号が 1.0V ( バッファ遅延は 100ps) 時間間隔 T は固定 100ps 100ps 100ps 100ps 100ps 100ps q 1 =1 q 2 =1 q 3 =1 q 4 =1 q 5 =0 q 6 =0 t 6 サンプル信号

79 TDC を用いた容量センサ (ATLab Inc. ( 韓 )) CLKin R A バッファ B TDC Dout Cmeasure CLKin A B T は R Cmeasure に比例 T TDC で測定 79

80 CLK TDC を用いた温度センサ (NTU, Harvard Univ.) A TDC Dout B 低温 高温 A A B B 温度 遅延 TDC で測定 80

81 All Digital PLL FreqData CKref Σ TDC + Phase error Digital Filter DCO CKout 回路がデジタル デジタル手法で設計 検証 テスト可能 プロセス ポータビリテイ 小チップ面積化 ( デジタルフィルタ ) ループ伝達関数を PVT によらず一定に自己校正 高性能化 ( フィルタ特性可変 低位相雑音 ) プログラマビリテイ 81

82 シグマデルタ TDC 回路 デジタル信号間の時間差の測定 短時間で求める精度で測定する必要あり 適用するアプリケーションの例 DDR(Double Data Rate) メモリのデータ, クロック間の時間差の計測等 : 内部処理回路とメモリアクセスのタイミング 制御信号 データ信号間のタイミング CLK コマンド READ DQS データ 82

83 研究開発目的 2つの繰り返しクロック間の時間差を高時間分解能 簡単な回路で計測 シグマデルタ型タイムデジタイザを用いる マルチビットシグマデルタ型タイムデジタイザの提案 短時間で所定の精度 分解能で時間差をテスト CLK1 T CLK2 83

84 従来基本 TDC 構成 Flash-type TDC Reference CLK τ τ τ τ t D Q D Q D Q Measured signal Encoder Dout 任意の信号でも計測可 回路が大きくなってしまう 時間分解能は τ で決まってしまう 高精度で測定できるようにする 繰返し信号を計測 84

85 シグマデルタ型 TDC 回路の構成 CLK1 CLK2 τ τ M U X M U X Timing Gen Mask CLK1a CLK2a CK CLK1b CLK2b + - CLK in INT out CMP >0 : D out 遅延セル, マルチプレクサ, AND 回路, アナログ積分器, 比較器で構成 簡単な回路で実現可能 CLK1 と CLK2 間の時間差を計測 85

86 シグマデルタ型 TDC 回路の動作 1 Timing Gen CK CLK1 CLK2 τ τ M U X M U X CLK1a CLK2a Mask CLK1b CLK2b + - CLK in INT out CMP >0 : 1 D out =1 =0 CLK1 CLK1a τ CLK2 CLK2a τ CLK1 と CLK2 を入力 比較器出力により経路選択 CLK1a, CLK2a を得る 86

87 シグマデルタ型 TDC 回路の動作 2 Timing Gen CK CLK1 CLK2 τ τ M U X M U X CLK1a CLK2a Mask CLK1b CLK2b + - CLK in INT out CMP >0 : 1 D out τ τ CLK1a CLK1b CLK2a=Mask CLK2b タイミングジェネレータにより Mask 信号 (= 速い方の信号 ) を発生させる Mask 信号と CLK1a, CLK2a との論理積をとり 立下りを合わせる CLK1b, CLK2b を得る 87

88 シグマデルタ型 TDC 回路の動作 3 Timing Gen CK CLK1 CLK2 τ τ M U X M U X CLK1a CLK2a Mask CLK1b CLK2b + - CLK in INT out CMP >0 : 1 D out CLK1b CLK in 0-1 CLK2b INT out CLK1b と CLK2b との差をとり結果の CLK in を積分 比較器で INT out を 0 と比較し 出力 D out を得る 次のクロックでの経路を制御 88

89 タイミングチャート (D out =1 のとき ) CLK1 CLK2 T CLK1a τ CLK2a Mask=CLK2a CLK1b CLK2b CLK in 0-1 INT out CK T d 89

90 タイミングチャート (D out =0 のとき ) CLK1 CLK2 T CLK1a CLK2a τ Mask=CLK1a CLK1b CLK2b CLK in +1 0 INT out CK T d 90

91 マルチビット ΔTDC 回路の構成 +δτ 11 +δτ 12 +δτ 17 Timing Gen CK CLK1 CLK2 τ τ M U X M U X τ τ M U X M U X τ τ M U X M U X CLK1a CLK2a Mask CLK1b CLK2b - + CLK in INT out Flash ADC 比較器 7 個 D out =1 =2 +δτ 21 +δτ 22 +δτ 27 7 遅延セルとマルチプレクサを増やしマルチビット化 Flash ADC の出力結果で経路選択 遅延セルのミスマッチによって非線形性が発生 91

92 マルチビット ΔTDC 回路の構成 Vref INT out CLK1 CLK2 +δτ 11 +δτ 12 τ τ M U X M U X τ τ M U X M U X τ τ +δτ 17 M U X M U X CLK1a Timing Gen CLK2a - CK Mask CLK1b CLK2b + - CLK in D 7 D 6 INT out Flash ADC 比較器 7 個 D out =1 =2 +δτ 21 +δτ 22 +δτ D 1 遅延セルとマルチプレクサを増やしマルチビット化 Flash ADC の出力結果で経路選択 遅延セルのミスマッチによって非線形性が発生 + 92

93 Element Rotation 回路の適用 Timing Gen CK CLK1 CLK2 τ τ M U X M U X τ τ M U X M U X τ τ M U X M U X CLK1a CLK2a 7 Mask CLK1b CLK2b - + CLK in Element Rotation 7 INT out Flash ADC D out Element Rotation 回路で Flash ADC の温度計コード出力をシャッフルしてから各 MUX に入力する 遅延ばらつきの影響を少なくする 93

94 マルチビットにする利点 シングルビットシグマデルタ型 TDC 遅延ミスマッチが影響しない 精度は出せる テストの際には短時間で所定の精度で評価 マルチビットにすることで速く計測できる Element Rotation 回路を用いることである程度精度が出せる 94

95 1 次ノイズシェープ τ+δτ 1 τ+δτ 2 τ+δτ 7 M U X M U X M U X デジタル入力 X 7 デジタル積分フィルタ δ 遅延セル DAC アナログ 微分フィルタ アナログ出力 Y 1/z 1/z Y( z) X ( z) (1 1/ Z) δ( z) 遅延セルミスマッチが 1 次ノイズシェープ 1/(1-1/Z) されている 95

96 1 次ノイズシェープの動作 デジタル入力 X=3 2 4 Y( z) X ( z) (1 1/ Z) δ( z) δ アナログ出力 Y DAC 遅延セル 1/z 1/z level DAC の 遅延セルの個数 入力範囲 0~7 遅延セルの数 0~+ 直接実現不可能 96

97 入力信号 Element Rotation 回路の効果 セル番号 積分して微分を等価的に実現 遅延セルミスマッチが 1 次ノイズシェープ 遅延セルミスマッチ Power 遅延セルミスマッチ Power f f 97

98 Element Rotation 回路の動作 t1 t2 tn 2 M 回右シフト 4 回右シフト 2 回右シフト 1 回右シフト s1 s2 sn 積算回路 dm d2 d1 d0 d 1 t 1 t N s 1 s N シフト =1 シフト =4 シフト デジタル入力によりシフトする量を制御する 98

99 # of 1 # of 1 ΔTDC のシミュレーション結果 MATLAB シミュレーション 1bit の場合 立ち上がり間隔 : T=0.05ns 刻み -0.9~0.9ns 遅延時間 : τ=1ns 出力数 ( コンパレータで比較した回数 ): 100 点 3bit の場合 立ち上がり間隔 : T=0.5ns 刻み -6~6ns 遅延時間 : τ=1ns 出力数 ( コンパレータで比較した回数 ): 100 点 立ち上がり間隔 T に対する 1 の出力数 T x T x

100 理想直線との差の割合 [%] 理想直線との差の割合 [%] # of 1 # of 1 測定時間を短縮した場合の結果 1bit 遅延時間 : τ=1ns 出力数( コンパレータの比較回数 ):10 点 bit 遅延時間 : τ=0.1ns 出力数 ( コンパレータの比較回数 ):10 点 x T x T T x T x 10-3 マルチビット化することで短時間で細かく測定可能 100

101 遅延ばらつきの影響の検証 遅延ばらつき : ガウス分布でランダムに生成 最大で τ=1ns の ±10% 程度の誤差とした シミュレーション時に生成した遅延パラメータ 1 τ1 τ2 τ3 τ4 τ5 τ6 τ7[ns] τ 合計 CLK1 経路 CLK2 経路 Τ1 τ2 τ3 τ4 τ5 τ6 τ7[ns] τ 合計 CLK1 経路 CLK2 経路

102 理想状態との 1 の出力数の差 理想状態との 1 の出力数の差 遅延ばらつきがある場合の結果 遅延ばらつきがある場合と無い場合との差 6 遅延素子パラメータ条件 1 10 遅延素子パラメータ条件 T x T x 10-3 クロック間立ち上がりタイミング T に対する出力に差が生じる 遅延ばらつきにより出力に非線形性を生じる 102

103 理想状態との 1 の出力数の差 始点と終点を結んだ直線との差 Element Rotation の効果検証 ( 条件 1) 理想状態との差 Element Rotation を適用しない場合と適用した場合の INL T x T x 10-3 Element Rotation あり Element Rotation なし 条件 1 の場合は遅延ばらつきのないときと比べ傾きが変わるが線形化される 遅延ばらつきの影響を軽減できる 103

104 理想状態との 1 の出力数の差 始点と終点を結んだ直線との差 Element Rotation の効果検証 ( 条件 2) 理想状態との差 Element Rotation を適用しない場合と適用した場合の INL T x T x 10-3 Element Rotation あり Element Rotation なし 条件 2 の場合は全体的に 1 の出る数が増えるが線形化される 遅延ばらつきの影響を軽減できる 104

105 理想直線との差の割合 [%] 理想直線との差の割合 [%] # of 1 # of 1 測定時間を短縮した場合の結果 3bit, 遅延ばらつき有 遅延時間 : τ=0.1ns 出力数 ( コンパレータの比較回数 ):10 点 3bit, Element Rotation 回路適用 遅延時間 : τ=0.1ns 出力数( コンパレータの比較回数 ):10 点 T x T x T x T x 10-3 遅延ばらつきの影響を軽減できる 105

106 デジタル PWM 発生回路 デジタル入力 時間出力 : 変換回路 D1=10.5 D2=3.7 D3=25.6 D4=8.5 T1 T1 T2 T3 0 T 2T 3T t T1 D1 T2 D2 T3 D3 T4 D4 CLK PWM パルス幅変調 ( 振幅からスイッチの ON 時間の長さで波形を生成 ) 拡大 デジタル入力と PWM デューティ比は比例関係. 時間分解能 : 微小クロック遷移 106

107 高時間分解能 DPWM 回路 - 従来の構成と問題点 - CLKin τ τ τ τ τ 問題点 A0 A1 A2 A3 A4 A5 MUX CLKout バッファ数 : 大 (10bit 設計 1023 個 ) 最小時間分解能 バッファのゲート遅延 :τ 半導体のプロセス性能に依存 ( ゲート遅延によって高時間分解能を得る ) 消費電力 ゲート遅延 = 一定 回路規模 : 大 一つあたりの遅延量 : 小 消費電力 : とても大きい 107

108 提案デジタル PWM 回路 2 つのゲート遅延 τ 1, τ 2 ノギスの原理で動作 CLKin sel sel バッファ遅延線 1 τ1 τ1 τ1 τ1 A0 A1 A2 A3 A4 MUX バッファ遅延線 2 τ2 τ2 τ2 B0 B1 B2 B3 MUX CLKout (A0,B3) 基準 (A1,B2) τ1-τ2 = Δτ (A2,B1) 2τ1-2τ2 = 2Δτ (A3,B0) 3τ1-3τ2 = 3Δτ (A1,B3) τ1 =4Δτ (A2,B2) 2τ1-τ2 = τ1+δτ (A3,B1) 3τ1-2τ2 = τ1+2δτ (A4,B0) 4τ1-3τ2 = τ1+3δτ (A2,B3) 2τ1 (τ1=4δτ) (A3,B2) 3τ1-τ2 = 2τ1+Δτ (A4,B1) 4τ1-2τ2 = 2τ1+2Δτ (A5,B0) 5τ1-3τ2 = 2τ1+3Δτ 108

109 提案デジタル PWM 回路 タイミングチャート A0 CLKout A1 CLKout A2 CLKout A3 CLKout 3τ2 (a) A0,B3を選択. τ1 τ1-τ2 τ2 (b) A2,B1を選択. 2τ1 2τ2 2(τ1-τ2) (c) A3,B0を選択. 3τ1 Δτ 3τ2 3(τ1-τ2) 2Δτ 特徴 時間分解能 : Δτ=τ 1 ー τ 2 一つのバッファのゲート遅延量より小 バッファ総数も激減 基準タイミング 3Δτ 109

110 バッファ遅延ばらつきによる非線形性 Digital Input CLKin τ+e1 τ+e2 τ+e3 τ+e4 τ+en τ1 τ2 τ3 τ4 MUX τn τ τ 出力タイミング Nτ 1 N 1 τ τ τ N CLKout デジタル入力 0 001(1) 0 010(2) 0 011(3) * **(N) デジタル入力 出力タイミング τ+e1 2τ+e1+e2 3τ+e1+e2+e3 Nτ+e1+ +en 110

111 ダイナミック マッチングによる 時間平均線形化 デジタル入力が 0 010(2) の場合 CLKin M U X M U X M U τ1 τ2 τ3 τ4 X M U X τn M U X CLKout τ+e1 τ+e2 τ+e3 τ+e4 τ+en 2τ 12 = 2τ+e1+e2 2τ 24 = 2τ+e2+e4 2τ 1N = 2τ+e1+eN τ 12 =τ+ τ 24 =τ+ τ 1N =τ+ e1+e2 2 e2+e4 2 e1+en 2 ランダムな経路選択バッファ遅延の時間平均 τ=τ 111

112 高速デジタル伝送 信号伝送速度の高速化 伝送路の寄生素子 (RC 成分 ) により 高周波成分が失われ信号が劣化 積分特性 符号間干渉 (ISI) 波形整形技術が必要 隣りのビットへ干渉してしまう 送信系 プリエンファシス技術 伝送路 微分積分特性 受信系 イコライズ技術 群馬大学弓仲康史准教授作成資料 伝送路 積分特性 微分 112

113 PWM プリエンファシス 従来のプリエンファシス オランダ Twente 大学 Nauta 先生 変化点 ( 振幅 ) をあらかじめ強調し信号を伝送 VDD 送信前 受信後 有効な振幅 伝送路 IN + - OUT GND 問題点 電源による振幅の制約 振幅方向の電圧制御精度 Z -1 パルス幅変調プリエンファシス 振幅方向ではなく 時間軸方向に着目 入力信号 今後の傾向 PE 波形 電源の低電圧化 高速化によるタイミング分解能の向上 受信後 従来 1bit 送信前 PWM 1bit ISI 除去 113

114 アナログアシストデジタル技術 デジタルを生かすためのアナログ技術 高速デジタル信号伝送 イコライザ プリエンファシス技術 114

115 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 115

116 デジタル制御電源コスト 電力の課題はあるがデジタル化の流れ ( 領域 4: 振幅離散 時間連離散 ) スイッチング電源回路 ハイサイド スイッチ FB HG 制御回路 LG ローサイドスイッチ 負荷 外資系半導体メーカーパワーマネージメント製品に注力 微細 CMOS でデジタル制御 デジタルの新アイデアで高性能化 通信機能の取り込み 制御回路部アナログ方式 デジタル方式 FB 基準電圧 エラーアンプ + - 補償回路 ハイサイド スイッチゲート アナログ PWM 発生器 HG LG ローサイド スイッチゲート 基準電圧 FB A-D 変換器 デジタル信号処理回路 ハイサイド スイッチゲート デジタル PWM 発生器 HG LG ローサイド スイッチゲート 116

117 デジタル制御電源での EMI 低減化 EMI(ElectroMagnetic Interference ) とは どれくらいノイズに耐えられるか どれくらいノイズを出さないか 電磁波感受性 EMS 電磁波障害 EMI EMC = EMS + EMI Electro Magnetic Compatibility: 電磁環境両立性 117

118 スペクトル拡散クロックによる 電源回路の EMI 低減 スイッチングノイズパワー 特定周波数成分に集中して発生 EMI 規格限度値 スイッチングノイズ f ( パルス幅変調 ) ( パルス位置 周波数変調 ) f スイッチングノイズパワーの周波数成分を拡散 デジタル電源で複雑な周波数拡散アルゴリズムを実現し 更なる EMI 低減化 群馬大 東光 ( 株 ) との共同研究 118

119 基地局パワーアンプの効率 現在の製品レベル 入力電力約 200W 出力電力 30W 効率 15% 170W 程度の損失 大きなバックアップシステムが必要 高効率化の要求が非常に強い 119

120 基地局パワーアンプと電源 従来のパワーアンプ電源 電源電圧一定 消費電力に無駄が多い 固定電源 電圧 電源電圧 RFin + RF PA RFout RFout 包絡線信号 時間 120

121 UCSD Larson 先生 包絡線追跡電源による高効率化 RF 入力信号の包絡線を検出 パワーアンプに可変電源電圧を供給 W-CDMA, OFDM に対して効果的 RF PA のデジタル歪補正 包絡線検出 包絡線追跡電源 消費電力削減 電源電圧 + RFin RF PA RFout RFout 包絡線信号時間 121

122 冗長性によるデジタル誤差補正 空間の冗長性と時間の冗長性 回路の非理想要因を許容して正解を出力 非理想要因は計測しない デジタル誤差補正技術により - 高信頼性化 - 高速化 ここで紹介するのは時間の冗長性を用いた逐次比較近似 ADC 入力 cf. 空間の冗長性の例 回路 A 回路 A 回路 A 多数決 出力 122

123 逐次比較近似 AD 変換器の背景 高分解能 中速 低消費電力 小型 小チップ面積 産業界で広く使用 車載用マイコンに混載 ペンデジタイザ 工業用制御機器 大部分がデジタル回路で構成ナノ CMOS での実現に適す 123

124 アナログ入力 逐次比較近似 ADC の構成と動作 コンパレータ天秤 comparator SAR 論理回路 サンプルホールド回路 天秤の原理で動作天秤がコンパレータ分銅が DAC DA 変換器分銅 デジタル出力 124

125 ビット逐次比較近似 ADC 2 進探索アルゴリズム動作 23.5 Vin 動作例 : アナログ入力 23.5 のとき Vin = =

126 誤判定 2 進探索アルゴリズムコンパレータ誤判定時の動作 Vin=23.5 誤差大 デジタル出力 15 動作例 : アナログ入力 23.5 のとき 1 ステップ目で誤判定したとき Vref(1)=16 Vref(2)=8 Vref(3)=12 Vref(4)=14 Vref(5)=15 デジタル出力

127 非 2 進探索冗長アルゴリズム k ステップ目の判定 d(k) : +1 or -1 2 進探索アルゴリズム Dout=2 4 +d(1)2 3 +d(2)2 2 +d(3)2 1 +d(4)+d(5) 非 2 進アルゴリズム :5 ビット分解能を 6 ステップで実現 従来の非 2 進探索アルゴリズム Dout=2 4 +d(1)γ 4 +d(2)γ 3 +d(3)γ 2 +d(4)γ 1 +d(5)+d(6) <γ<2 アルゴリズムが一意的に決まる 非 2 進探索アルゴリズムの一般化 Dout=2 4 +d(1)p(2)+d(2)p(3)+d(3)p(4)+d(4)p(5)+d(5)p(6)+d(6) p(k) を自由に決める p(k): 分銅の重さ 127

128 非 2 進探索アルゴリズムのデジタル誤差補正原理 入力 5のとき 2進探索判定出力 :101 Dout 非 2進探索判定出力 : 1101 Dout 判定出力 : 0111 Dout 通り ステップ目で判定誤りをしても補正できる

129 p(2) p(3) p(6) p(5) p(4) 非 2 進探索アルゴリズム 5 ビット分解能 (32 レベル ) 6 ステップ (k=1,,6) の場合 p(2)=7 p(3)=4 p(4)=2 p(5)=1 p(6)=1 と設計する =1+p(2)+p(3)+p(4)+p(5)+p(6) 2 4 = =16 2 N 1 1 M i 2 を満たしている 分銅の重さに対応 p( i) 129

130 Output of DAC [LSB] 参照電圧発生用の 内部 DA 変換器の整定時間 4 冗長による高速化 1/2LSB Last step First step Short Long Settling time [τ] 130

131 非 2 進探索アルゴリズムによる AD 変換高速化 ( 原理説明 ) 冗長による高速化 Binary search algorithm Step1 Step2 Step3 Step4 Exact DAC settling Long time Non-binary search algorithm A/D conversion time Step1 Step2 Step3 Step4 Step5 Step6 Correct incomplete settling error. Incomplete DAC settling Short time 131

132 電圧 [LSB] 非 2 進探索アルゴリズムによる AD 変換高速化 ( シミュレーション確認 ) 従来 2 進 : 14 ビット 14 ステップ 1 サイクル 9.1τ 提案非 2 進 : 14 ビット 22 ステップ 1 サイクル 1.2τ 冗長による高速化 比較電圧 V DAC 整定の比較 判定誤り アナログ入力 提案方式 従来 2 進 τ 変換時間 t[τ ] 118.3τ 132

133 ADC time [τ] 冗長による高速化 AD 変換スピードの比較 Conversion time of each algorithm (14-bit) Binary algorithm Conventional non-binary algorithm Proposed non-binary algorithm 133

134 2 つのコンパレータ使用 SAR ADC (IMEC 提案 ) 16 Vin Comp1 低電力 高ノイズ Comp2 高電力 ノイズ補正 分銅 消費電力 通常 冗長による低消費電力化 冗長 1LSB ノイズ補正 誤判定 高電力 2- コンパレータ消費電力減少 Comp1( 低電力 ) Comp2( 高電力 ) コンパレータトータル消費電力 134

135 冗長による低消費電力化 2- コンパレータ SAR ADC 構成 IMEC 提案 Analog input S/H + 2-Dynamic Comparator Input+ Input Output - DAC - Comp_CLK select Comp_CLK select SAR Logic Digital output CLK V.Giannini, P.Nuzzo, V.Chironi,A.Baschirotto, G.V.Plas,J.Craninckx An 820 μ W 9b 40MS/s Noise-Tolerant Dynamic-SARADC in 90nm Digital CMOS ISSCC (Feb.2008). 135

136 アナログ入力 Comp1 2つのコンパレータ SAR ADC コンパレータオフセットミスマッチの影響 Comp2 :1 判定 offset2 :0 判定 冗長による低消費電力化 offset1 offset2 offset1 offset2 offset1 offset2 線形性劣化 IMEC コンパレータオフセット 1/2LSB 以内にアナログ調整 step 0 デジタル出力 [LSB] 7 136

137 アナログ入力 提案冗長アルゴリズムによるデジタル補正 Comp1 Comp2 冗長による低消費電力化 offset offset offset offset offset offset offset offset offset offset コードの変わり目を決める 線形性デジタル補正アナログ調整なし 0 デジタル出力 [LSB] 7 137

138 Comp2 Comp1 例 :10 ビット 11 ステップ SAR ADC オフセットミスマッチ :6.0LSB 以内 Comp1( 低電力 ) ノイズ :1.0 LSB 以内 Comp2( 高電力 ) ノイズ :0.2 LSB 以内コンパレータのアナログ キャリブレーションなしの場合の設計例 IMEC 方式 提案方式 冗長による低消費電力化 step:k 参照電圧 誤差 er(k)[lsb] > > > > > > > > > 許容値 [LSB] step:k 参照電圧 誤差 er(k)[lsb] < < < < < < 許容値 [LSB]

139 DNL [LSB] DNL [LSB] Output [LSB] Output [LSB] MATLAB シミュレーション ( ランプ波 ) 冗長による低消費電力化 Output Comp1( 低電力 ) オフセット :+4.0 LSB ノイズ :1.0 LSB Comp2( 高電力 ) オフセット :-2.0 LSB ノイズ :0.2 LSB コンパレータのアナログ キャリブレーションなしの場合 IMEC 方式 Vin DNL 提案方式 Output Vin DNL Code Number Code Number 139

140 消費電力とコンパレータミスマッチ許容のトレードオフ 低消費電力化 通常 1- コンパレータ コンパレータのミスマッチ許容 IMEC 方式 2- コンパレータ ( コンパレータミスマッチ許容 : 小 ) Comp1( 低電力 ) トレードオフ 高電力 Comp2( 高電力 ) 提案 2- コンパレータ ( コンパレータミスマッチ許容 : 大 ) 冗長による低消費電力化 低消費電力化の効果が下がる Comp1( 低電力 ) コンパレータトータル消費電力 Comp2( 高電力 ) 140

141 逐次比較 ADC への期待 昔からの方式 産業界で広く使用 微細 CMOS 実現での研究活発 冗長アルゴリズム ( 信号処理技術 ) - 高速化 - 低消費電力化が可能 141

142 人生訓のような結果 2 進 SAR ADC は ADC 構成の中で 最も効率 (Figure of Merit) がよいと 期待されて現在研究がホット 冗長性を持たせることで より効率が良い 無用の用 ( 老子 荘子 ) 一見役に立たないものが 実は大きく役立つ 142

143 + Vref Vin フラッシュ型 ADC - 大きな冗長性の回路 - Dout 全ての重さの分銅とそれを載せる天秤を用意 - Vref 入力 Vin

144 フラッシュ型 ADC への見方 フラッシュ型 ADC は無駄な回路が多く賢い構成ではない 6bit フラッシュ ADC など目をつぶっても実現できる フラッシュ型 ADC は偉大な構成 低分解能 超高速 ADC のアーキテクチャとしてフラッシュ型を超えようとして ( 公表されてないが まわりで ) いくつもの研究が失敗している (UCLA Abidi 先生 ) 産業界でフラッシュ型は生き残っている 144

145 冗長性を用いた ADC 設計 ADC 内に冗長性 各回路構成要素への要求が緩和 性能向上を達成 145

146 時間の冗長性 (1) 1 人の人が 間違いなく休みもとらずにやれば 6 時間で終わる仕事 7 時間を割り当てる 途中で間違えても修正 回復できる 適度に休息をとり余裕をもって確実に仕事を完了させることができる 長い間には効率的 短い時間で大プロジェクトが完了できる ADC アーキテクチャ例 : 冗長アルゴリズム SAR ADC [1] T. Ogawa et. al., SAR ADC Algorithm with Redundancy and Digital Error Correction, IEICE Trans. Fundamentals (Feb. 2010). 146

147 時間の冗長性 (2) ある人が 3 時間 それを引き継いで 次の人が 4 時間かかる仕事を 7 時間を割り当てる 引き継ぎの時間がない 8 時間を割り当てる 引き継ぎの時間が十分で 仕事が確実に完了できる 対応する ADC アーキテクチャ [2] 小川智彦他 逐次比較近似 ADC コンパレータ オフセット影響の冗長アルゴリズムによるディジタル補正技術, 電子情報通信学会誌和文誌 C (2011 年 3 月 ) 147

148 空間の冗長性 5 人で7 時間で終わる仕事に 6 人を7 時間で割り当てる 休息をとれる 一人が風邪で休んでもOK 一人が間違えても周りが助ける 各自の負担が大幅に軽減でき 長期的には効率がよい 対応する ADC アーキテクチャ例 : 3 つの比較器を使用する SAR ADC [3] M.Hotta, SAR ADC Architecture with Digital Error Correction, IEEJ Transactions on Electrical and Electronic Engineering (Nov. 2010). 148

149 冗長 ADC のテストは難しくなる 冗長性 誤動作 故障が起こってもシステム全体は 正常に動作する (Fault Tolerant) 異なる思想 LSI テスト 故障がはいらないようにする検査 149

150 デジタル誤差補正とキャリブレーション デジタル誤差補正冗長回路をもち 回路の非理想要因を許容して正解を出力非理想要因は計測しない デジタルキャリブレーション回路の非理想要因をデジタル値として測定メモリに記憶 その値をもとに通常動作のときに補正 150

151 パイプライン ADC の背景 パイプラインADCの位置づけ CMOS ADCで高分解能 中高速で有力なアーキテクチャ 産業界で広く用いられている ナノCMOSでの実現ミスマッチによる精度劣化 オペアンプのゲインを得るのが難しい高精度化が難しい 151

152 計測制御技術による パイプライン ADC の高性能化 自己校正技術 内部回路 (DA 変換器 利得アンプ ) の不正確さを計測して その値をテーブルに記憶 デジタル演算で補正 誤差計測回路はパイプラインADC 自体を用いる 152

153 パイプライン ADC の構成と動作 パイプライン = バケツリレー アナログ入力 Vin=35.7 Vin-Vout = 5.7 Vin,2=57 D2=5 Vout=30.0 D1=3 ADC1 入力 Vin 出力 D Vin < ADC2 入力 Vin,2 出力 D Vin,2 < 出力 Dout=3 10+5=35 153

154 パイプライン ADC 全体の 精度劣化要因 ADC1の非線形性の影響 問題小 DACの非線形性の影響 問題大 段間アンプのゲイン誤差の影響 問題大 アナログ入力 Vin-Vout Vin D2 これで誤差測定 154

155 自己校正回路を含んだ パイプライン ADC 全体回路 上位変換回路 Vout 14bit ADC Vin D1out デジタル補正用回路 Din Dout 155

156 フォアグランド自己校正 マルチプライ DAC のゲイン 非線形性測定 - 内部の容量を後段 ADC で測定 - Vin 上位変換回路 4bitMDAC Vout Vout = 8 Vin-[D1+D2+ +D14] Vref 16 Din Din Vin Vout Sampling phase Hold phase 156

157 フォアグランド自己校正 Vout 各容量の測定 Din 0 0 後段 ADC 0 0 V1 S1 メモリ保持 0 H1 = S1 S1 13 Vref Vin 1 V1 S1 157

158 Power [db] Power [db] フォアグランド自己校正 段間アンプのゲイン誤差の自己校正 ( シミュレーション ) 単一正弦波入力の出力パワースペクトル 自己校正なし Power spectrum SNR=73.3[dB],ENOB=11.2[bits] THD=-71.6 [db] 自己校正あり Power spectrum SNR=85.9[dB],ENOB=13.9[bits] THD=-103[dB] Frequency [Hz] Frequency [Hz] SNDR 12.7dB ( 有効ビット 2.7bits) 向上 158

159 ADC 自己校正と計測制御技術 フォアグランド自己校正通常動作をストップして自己校正のための時間をもつ計測技術 バックグランド自己校正通常動作はストップしない 自己校正はユーザからは全く見えない 適応制御技術 フォアグランド バックグランド自己校正の両者のアルゴリズムは全く異なる 159

160 ADC 自己校正技術の 理論的基礎は未解決 計測制御研究者の問題 ADC 内部回路の誤差 ADC 内回路自体を用いて測定測定自体に誤差測定内容も制限どの条件で なぜ自己校正で精度がでるのか? 結果として ADC 精度確保 個別技術では解決 一般論では未解決 Abidi 先生 (UCLA) 指摘 160

161 微細 CMOS ミクストシグナル回路での自己校正が成立する理由を考える 地球の大きさを測るエラトステネス ( 紀元前 年 ) 1 シェナ (Syene: 現在のアスワン ) の町では 夏至の日の正午に深井戸に太陽の光がまっすぐ差し込み 井戸の底に太陽が映る 2 アレクサンドリアでは夏至の日の正午 太陽は真上 ( 天頂 ) から 7.2 度傾いている 3 シェナとアレクサンドリアの距離は約 925km より 地球の大きさが 計算できる 高度な計測器がなくても地球が丸いというモデルと工夫で計測が可能 161

162 パイプライン ADC の バックグランド自己校正の構成例 Vin S/H 10 ADC 通常動作アナログ入力 ADC DAC デジタル補正回路 Dout RNG 0 or 1 を各 50% の確率で発生入力 Vin とは無相関 (Random Number Generator) ADC 全体のデジタル出力 統計的考え方を使う 162

163 パイプライン ADC の バックグランド自己校正アルゴリズム 一例の概念的説明 Vin 35.7 Vin 35.7 S/H S/H ADC ADC 0 RNG 1 RNG DAC DAC ADC デジタル補正回路 10 ADC -5 デジタル補正回路 Dout 35 Dout 35 RNG=0 のとき Dout=35 となる頻度と RNG=1 のとき Dout=35 となる頻度が等しくなるように適応的にデジタル演算係数を調整する 163

164 微細 CMOS ミクストシグナル SOC にては 適応信号処理 制御 同定の技術が より重要になる It is not the strongest of the species that survive, nor the most intelligent but the ones most responsive to change. 激変する環境下で生き残る生物 強い者でもない 賢い者でもない 変化に適応する者だけが生き残る Charles Robert Darwin 卿 164

165 165 インターリーブ ADC の構成と動作 M 個の ADC のインターリーブで M 倍のサンプリングレートを実現 サンプリングレートの高い ADC 実現 ( 電子計測器等に使用 ) 最近では低消費電力化の観点からも注目 一人のスーパーマン より 多数の普通の人が連携して 165

166 インターリーブ ADC の問題点 - チャネル ADC 間ミスマッチ - ADC1 ADC2 16 理想 :15 理想 :15 14 dc 0.2V 16 Dout 1ch dc 0.2V Dout 2ch パターンノイズ dc0.2v 理想 : DC 入力 DC 出力 t DC 入力 DC 出力ではなくなる t 166

167 チャネル ADC クロック間 タイミング スキュー 167 正確な M 相クロックを生成することは難しい 167

168 振幅 [V] 振幅 [V] 振幅 [V] タイミングスキューの影響搬送波 搬送波 タイミングスキューによる出力誤差 高周波 搬送波 時間 [μ sec] 時間 [μ sec] 低周波 時間 [μ sec] t t 入力信号が高周波になるほど影響が大きくなる 168

169 169 4ch インターリーブ ADC タイミングスキューの 時間 周波数領域での影響 スプリアス 時間領域の影響 入力信号の傾きが大きいほど影響が大 位相変調 (PM) 的ノイズ 周波数領域の影響 169

170 170 帯域ミスマッチのモデル アナログ素子から成る一次遅れ系近似 ADC -3dB 周波数はランダムにばらつく 170

171 171 帯域ミスマッチの影響 ADC1 の -3dB 周波数 f f c2 2 c1 ~ ~ ADC2 の -3dB 周波数 入力周波数に依存したゲインのミスマッチ 入力周波数に依存した位相遅れ ( 時間遅れ ) のミスマッチ 171

172 各チャネル ADC 出力の 周波数特性 c(0) c(1) c(2) c(3) c(4) CLK0 ADC0 ADC1 X 0 (f) X 1 (f) 1 4Ts 2 4Ts 3 4Ts 4 4Ts CLK1 ADC2 X 2 (f) CLK2 ADC3 X 3 (f) CLK3 172

173 インターリーブ ADC 全体の ふるまい X 0 (f) X 1 (f) c(0) c(1) c(2) c(3) c(4) 1 4Ts 2 4Ts 3 4Ts 4 4Ts f アドバンテスト社群馬大学社会人博士浅見幸司氏 c(0) f s =1/T s c(4) f X 2 (f) X 3 (f) f f X(f)= X 0 (f)+ X 1 (f)+ X 2 (f)+ X 3 (f) = 1 4Ts c(0) c(4) 2 4Ts 3 4Ts 4 4Ts f

174 各チャネル ADC の周波数特性に ミスマッチがある場合 c(0) c(1) c(2) c(3) c(4) X 0 (f) X 1 (f) 1 4Ts 2 4Ts 3 4Ts 4 f 4Ts スプリアス成分 c(0) c(4) f X 2 (f) X 3 (f) f f Ts 2 4Ts 3 4Ts X(f)= X 0 (f)+ X 1 (f)+ X 2 (f)+ X 3 (f) 4 4Ts 0c(0) 1c(1) 2c(2) 3c(3) 4c(4) f 174

175 インターリーブ ADC チャネル間ミスマッチのデジタル自己校正 - ミスマッチの自動測定 補正 - 入力周波数特性 周波数特性補正前 周波数特性補正後 アナログの高速化の問題をデジタル信号処理で解く 175

176 デジタルフィルタによるタイミングスキュー補正 新条件線形位相デジタルフィルタ デジタル手法 時間波形を保持 細かい時間分解能 τ 176

177 デジタルフィルタによるタイミングスキュー補正 理想フィルタ 周波数応答 インパルス応答 1.0 H(jω) ω angle H(jω) フーリエ変換 ωs/2 ωs/2 ω 177

178 デジタルフィルタによるタイミングスキュー補正 理想フィルタの離散時間表現 ω - 2ωs - ωs ωs 2ωs フーリエ変換 FIR フィルタを構成 全てゼロ

179 デジタルフィルタによるタイミングスキュー補正 インパルス応答の時間シフト 振幅特性は変化しない 179

180 デジタルフィルタによるタイミングスキュー補正 時間シフトによる係数への影響 FIR フィルタ 時間シフト IIR フィルタ 遅延理想フィルタ

181 デジタルフィルタによるタイミングスキュー補正 提案の遅延デジタルフィルタ t t (a) FIR フィルタ (b) 遅延理想フィルタ t (c) 遅延デジタルフィルタ 181

182 デジタルフィルタによるタイミングスキュー補正 直交変調器への応用 I(t) = cos (2 f 0 t) SSB 信号入力 DAC s(t) Q(t) = sin(2 f 0 t) DAC I(t)+jQ(t) fc /2 スプリアス f f 0 f c - f 0 f c f c +f 0 SSB : single side band ( シングルサイドバンド ) DAC : digital-to-analog converter ( デジタル-アナログ変換 ) 182

183 デジタルフィルタによるタイミングスキュー補正 直交変調器の I/Q スキュー補正 I(t) = cos (2 f 0 t) SSB 信号入力 DAC s(t) Q(t) = sin(2 f 0 t) DAC I(t)+jQ(t) fc /2 f f 0 f c - f 0 f c f c +f 0 SSB : single side band ( シングルサイドバンド ) DAC : digital-to-analog converter ( デジタル-アナログ変換 ) 183

184 Power [db] Power [db] デジタルフィルタによるタイミングスキュー補正 SSB 信号によるシミュレーション結果 スプリアス信号成分 Fs/2 0 Fs/2 Normalized frequency(fs=1.0) スプリアス信号成分 Fs/2 0 Fs/2 Normalized frequency(fs=1.0) (a) 補正なし 加えた遅延量フィルタタップ数窓関数 FFTサイズ 0.1 samples 61 taps Hann 窓 1024 points (b) 遅延フィルタにより補正 184

185 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 185

186 デジタル RF によるテストコスト低減 TI 社の ( デジタルアシストを多用した ) Digital Radio Processor の動機 テストコストを下げるため デジタル化で BIST を入れやすくなり (RF BIST) デジタル ATE でテスト可能となる 非常に数が出るチップでこの考え方は重要 186

187 新アナログのテストの問題 トランシーバ IC の出荷時テスト LSI テスタ 被測定 LSI Tx Rx RF 信号 RF 信号 Rx Tx Digital トランシーバ IC をテストする際の標準的な構成 高価な LSI テスタが必要 187

188 携帯電話送受信機 IC の テスト容易化 被測定 LSI サンプリングミキサ LSI テスタ メーカ A 社から指摘 RF 信号 Rx Tx オールデジタル PLL Digital サンプリングミキサ受信機 ADPLL 送信機で携帯電話送受信 IC のループバックを可能に ループバックテスト構成 携帯電話では Rx, Tx のキャリア周波数が異なる 直接にはループバックが使用不可 テスト時に Rx, Tx のキャリア周波数を合わせ得る 188

189 ADPLL を用いた送信機のテスト容易化 ADPLL を用いた RF 出力の変調の 位相軌道誤差 (Phase Trajectory Error) ADPLL 内位相比較デジタル値の統計処理から 正確に推定可能 量産時に高価なテスターでの長時間テストを 行わなくてテスト可能 [1] R. B. Staszewski, et. Al., RF Built-in Self Test of a Wireless Transmitter, IEEE Trans. CAS II (Feb. 2007). 189

190 デジタルアシスト技術と LSI テストの問題 LSI テスタ メーカ B 社から指摘 デジタル アシスト アナログ技術 設計パラメータ空間が広くなる 内部に不良箇所があっても補正され LSI テスト ( 出荷検査 ) の際に 良品 と判定 その欠陥が補正できるぎりぎりのとき 市場で補正範囲を超え動作不良となることあり 190

191 Convergence time [s] デジタルアシスト技術と LSI テストの問題 学会情報 バックグランド自己校正時間長い [Pipelined ADC with calibration research paper in recent years] Resolution [bit] 全テスト時間 = バックグランド自己校正時間 + 機能テスト時間 191

192 デジタル アシスト アナログ テスト容易化技術 UC Santa Barbara ( 米 ) Prof. Chen デジタル自己校正用メモリ値の 値を観測してテストに利用 値を書き換えてテストに利用 自己校正 誤差補正 : 自己校正用メモリデータはチップ使用時ユーザからは見えない テストの際には積極的に内部状態を 観測 制御 する 192

193 バックグランド自己校正時間を大幅短縮できる ADC アーキテクチャ Split ADC 収束時間短, 面積 電力オーバーヘッド小 Analog input ADC A ADC B Frontend ADC A Backend ADC A D A D B Frontend Backend ADC B ADC B ADC output D Error signal For calibration ΔD Gm/C を一定 2 つの (Gm/2)/(C/2) の ADC 異なる 論理 2 つの出力の平均で SNR 確保 異なる論理 の 2 つの ADC 出力が同じになるように自己校正 193

194 アナログの回路とテストの研究の接点 アナログの回路研究者とテスト研究者の学会は別 両者の交流は限定 アナログ回路の自動調整 自己校正は 回路技術とテスト技術の接点である (Prof. A. Chatterjee, ジョージア工科大学 ) 194

195 デジタル補正使用の計測器 センサの 量産経験者に耳を傾ける 高精度な湿度計測を実現するには 温度係数の個体差のばらつきが小さく 複雑な温度補正を必要とせず 調整 校正誤差が小さい特性ばらつきしかない湿度センサを選定することが重要 ( 田澤 R&D 技術士事務所田澤勇夫氏 ) 195

196 工業製品の量産の思想 製品ばらつきを抑える 均一な部品 材料を用いて 均一な品質なものを作ること が重要 異なる思想か? 校正 調整 ( ディジタルアシスト ) では ばらつきを許容する 最終製品は特性は均一になる ディジタルは均一な品質のものを作れるという側面も強いが 196

197 工業製品の量産と調整 校正 調整 ( チューニング ) コスト高 トラブルのもと量産では避けたい ディジタルアシストでの 自己調整 自己校正でコストの問題は軽減 しかし トラブルのもと は依然残る 197

198 計測器での校正から学ぶ 性能 ( 精度 確度 ) をだすために校正を行う 校正なしでできるだけ性能をだす どうしてもという部分を 校正 する 計測器は 低消費電力化 の要求は希薄 198

199 自己校正による低消費電力化技術 考え方を検証する ディジタルアシストでの 弱いフィードバック 閉ループアンプではなく開ループアンプの使用 わざと特性を劣化させて低消費電力化を図る 特性劣化分 ( 非線形性 ) をデジタル補正 従来の量産の思想 とは異なる ( 受け入れには 勇気 が必要 ) 199

200 ディジタルアシストによる 設計パラメータ空間の増大 アルゴリズムの収束性 ( 収束時間 安定性 ) 安定平衡点は単一か 複数の平衡点の場合は望ましくないところに収束した場合から逃れられるか どの程度のパラメータ変動まで収束を保証できるか これらは十分調べられているか また 設計検証 テスト トラブルがあった際の診断 修復が大変になる 200

201 基準電流発生回路から学ぶ 2 つの動作点 望ましくない動作点からの抜け出しが必要 Vdd COMMOM カレントミラー IOUT カレントミラー 電流源 OUT IN 期待される動作点 IIN 電流源 IOUT 自己バイアス回路を用いる基準電圧源のブロック図 期待されない動作点 動作点の決定 IIN 201

202 基準電流発生回路での起動回路枯れた技術でその考え方は広く使用 トランジスタに電流が常に流れる事を保障するため起動回路を用いる 電流が流れる R1 D1 起動回路 R2 の電位が上がる D1 が OFF 状態となる D2 D3 D4 D5 R2 起動回路は関係なくなる 202

203 デジタルアシストテスト技術の開発事例 ADC テスト用低歪み正弦波発生 デジタル回路 アナログ回路 デジタル入力 - Q 内部 DAC Multi-bit DAC アナログ出力 ΣΔDAC ΣΔDAC テストモード時に SoC 内の DSP, DAC コアを用いて構成 203

204 ADC テスト用低歪み正弦波発生 開発した手法 Digital circuit Analog circuit DSP 入力 D in - Q Multi-bit DAC Y = ax + bx 3 出力 Y ΣΔDAC ck D in =X 1 D in =X 2 X 1, X 2 をインターリーブして D in を生成 204

205 ADC テスト用低歪み正弦波発生 低歪み正弦波発生の原理 f in f s /2-f in f Y = ax + bx 3 f in 3f in f DSP Input D in ΣΔ DAC Output Y ck HD3 キャンセル ck D in =Asin(2πf in t+π/6) D in =Asin(2πf in t-π/6) 205

206 Power [dbm] ADC テスト用低歪み正弦波発生 実験結果 従来手法 提案手法 Frequency [MHz] Frequency [MHz] Fundamental (200kHz) : 3.8 dbm -1.2 db 2.6 dbm HD3 (600kHz) : -60 dbm -14 db -74 dbm 206

207 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 207

208 教育論 : アナログ回路を志す学生にとって 習得すべき知識領域が拡がる? 基本は変わらない? システム的な考え方 システム全体を理解 設計できる能力 信号処理技術の知識が必須になる. いわゆる アナログ回路 しかわからないのは ( 現状でも ) 通用しない. しかし アナログ回路 がわからないのも通用しない. 木 も 森 も見ること 理解できることが必要 208

209 デジタルアシストの定義 微細 と CMOS の 2 つの条件 4 つの回路領域全てを使用 More Moore のアナログ 回路 設計手法 検証手法 テストをデジタル的に行う 振幅連続 振幅離散 時間連続領域 1 アナログ領域 3 TDC PWM 時間離散領域 2 スイッチドキャパシタサンプリング回路 領域 4 デジタル 領域 1: バイポーラ 化合物が得意領域 2,3,4: CMOSが得意 209

210 デジタルアシストの必然 微細 CMOS でアナログ高性能化 微細 CMOS では 低電源電圧 トランジスタ利得小 特性バラツキ大で従来のアナログ回路では動作が難しい 小チップ面積 低消費電力 高性能化 設計容易化 プロセス ポータビリテイ スケーラビリテイ 初回の試作で動作 半導体プロセス開発と並行して回路設計可能 他のデジタル回路と集積化可能 210

211 デジタルアシストの体系化が必要 ADC 自己校正技術の理論的基礎は未解決 ADC 内部回路の誤差 ADC 内回路自体を用いて測定測定自体に誤差測定内容も制限どの条件で なぜ自己校正で精度がでるのか? 結果として ADC 精度確保 個別技術では解決 一般論では未解決 ADC での冗長性を用いたデジタル誤差補正パイプライン ADC, 逐次比較近似 ADC 等統一理論が必要 211

212 ディジタルアシストで用いられている Z 変換の問題点 離散時間信号 システム表現に Z 変換が 多用されているが サンプリング周期 T が 陽に表れていないので使いづらい面がある T をゼロに近づけた時 連続時間系の理論と スムーズに一致する表現が望ましい ( 東大名誉教授北森俊行先生 ) Z = e st 212

213 デジタルアシストの思想の発展形 生物に学ぶ自己校正冗長性 故障耐性自己診断 テスト 修復 生体システム 適応 学習 診断 自然治癒 回復 将来の微細 CMOS SOC アーキテクチャは 生物のシステムにも学ぶ必要あり 生物と工学システムは異なるが 鳥と飛行機 馬と自動車 脳とコンピュータ ニューラルネットワークは普及が限定 213

214 技術論 : デジタルアシストは SOC 向け? それとも先端アナログ /RF として必達? 微細 CMOS SOC 向け アナログ RF 回路だけでなく パワー系回路 ( デジタル電源等 ) でも 必要な考え方 ( 必須ではないが ) 外資系半導体メーカーの多くはパワーマネージメントに注力 高耐圧 パワーデバイスに加え微細 CMOSを集積化デジタル制御 ( デジタルアシスト ) が理由の一つ 214

215 デジタルアシストの普及 発展は市場駆動か 技術駆動か? 答え : 両方. 微細 CMOS SOCでは必須 市場駆動 : 発展途上国向け製品では低コスト化 大量生産が必須. その手段として微細化が重要. 技術駆動 : 微細化によるアナログRFを含むSOCの性能向上のストーリーを容易に描ける. 215

216 デジタルアシストはアナログ回路設計を容易化するのか 難易度を高めるか? 微細 CMOS SOCでは容易化する. 枯れたプロセスで小規模アナログICを設計する場合は有効性小. アナログ回路設計 というより システム全体の設計 という発想をしその設計を容易にする設計手法を確立すべき. ( 解は存在すると思う ) アナログ自動合成を可能にする (?) アナログ部もデジタル手法でMatlab, Verilog 記述で回路設計 レイアウト設計 検証 テスト設計を行う. 216

217 デジタルアシストでは解決できないアナログ特性劣化要因とチャレンジ? ピュアなアナログ回路領域は必ず残る. 基準電圧発生回路 発振回路 ( 完全デジタル PLL 回路 DCO の 低雑音アンプ LC 発振回路など ) パワーアンプ等 ( の回路の一部 ) これらは差別化部分になりえる 全てをデジタルで置き換える ことはできない 217

218 デジタルアシストは新しい技術なのか? これまでもあったがカテゴライズされて目立った? デジタルは偉大な技術 アナログ回路の一部をデジタルで置き換える ことができたら アナログには戻らない. 電子計測器では 校正 の技術が以前からあり. それがチップ内に入ってきたとの見方もできる. 218

219 ジッタ 熱雑音に対して デジタルアシストではジッタ 熱雑音は対応できない ADCの性能限界はジッタできまる ( 不確定性原理から標準偏差 0.025ps) 多数個 多数回で統計的に平均化すれば精度を向上させえるのではないか そのようにするとパワー効率が下がる が この先入観にとらわれず 原理的に対応できるので 踏み込んでデジタルアシストでジッタ 熱雑音に対処する 研究をすべき 219

220 微細 CMOS ミクストシグナル SOC にての Analog RF Technology の展開 Digitally-Assisted から System-Assisted へ 220

221 発表内容 アナログとデジタルを哲学する デジタルアシストの動機 デジタルアシストアナログ技術 領域 1: 振幅連続 時間連続領域 2: 振幅連続 時間離散領域 3: 振幅離散 時間連続領域 4: 振幅離散 時間離散 デジタルアシストのテストの問題 デジタルアシストを哲学する まとめ 221

222 まとめ ナノ CMOS でのアナログ性能向上 設計容易性 プロセスポータビリテイ スケーラビリテイのため - 4 つの回路領域を全て使用 - デジタル化を進める アナログは最小 - 誤差補正 自己校正技術 アナログ技術 RF 技術に加えて信号処理 計測 制御技術の知識 センスが必要 デジタルアシストの個別技術の開発に加え 体系化 理論構築が必要 222

223 付録 1: 時間差は増幅できる 時間差増幅回路 Time Difference Amplifier in1 T in in2 Time Difference Amplifier Gain : a out1 a T in out2 T in a T in in1 in2 out1 out2 信号の立ち上がりエッジ間の時間差を増幅 223

224 ラッチを用いた時間差増幅回路 in1 T off C out2 T in a T in in2 T off out1 ラッチのメタスタビリティ現象を利用 [1] M. Lee and A. A. Abidi, A 9b, 1.25 ps Resolution Coarse-Fine Timeto-Digital Converter in 90nm CMOS that Amplifies a Time Residue, IEEE Symp. On VLSI Circuits, pp , June

225 NAND-SR ラッチ回路の入出力特性 S T SR S O C S Y R Y R R O T SR T OUT S O, R O 1 T OUT R O T OUT 0 S O t 0 T SR 入力時間差 T SR : 小 準安定状態からの回復時間 T OUT : 大 225

226 ラッチを用いた時間差増幅回路の動作 T OUT out2 out1 -T off T off T IN out1 out2 T IN << T off : ゲインは線形とみなす 時間差増幅回路のゲイン : T OUT -T off T off TIN g m : 準安定状態時の NAND ゲートのトランスコンダクタンス 線形 226

227 付録 2: 水平思考 信号を電圧軸 ( 垂直 ) ではなく時間軸 ( 水平 ) で 水平思考 (lateral thinking): 問題解決のために既成の理論や概念にとらわれずアイデアを生み出す方法 エドワード デ ボノが1967 年頃に提唱 白と黒い石を一つずつの袋にいれた籤 ( くじ ) 白い石を引けば勝ち 籤を作る側がインチキをして黒い石を2つ入れるところを見る どうやれば勝てるか 227

228 水平思考による解 兵は詭道なり 孫子 籤を引く が, 石の色を確かめる前に敷地に落してしまう 袋に残ってる石の色を見れば 引いた石が何色だったか分かる と主張 インターネットより 228

229 信号を電圧軸 ( 垂直 ) ではなく時間軸 ( 水平 ) で 水平思考 (lateral thinking): 問題解決のために既成の理論や概念にとらわれずアイデアを生み出す方法 エドワード デ ボノが1967 年頃に提唱 こじつけ です 白と黒い石を一つずつの袋にいれた籤 ( くじ ) ( 念のため ) 白い石を引けば勝ち 籤を作る側がインチキをして黒い石を2つ入れるところを見る どうやれば勝てるか 229

230 付録 3: 計測制御工学と自己校正 計測制御工学で自己校正 自動調整を理論づける 計測制御双対 (dual) の関係 計測逆問題 古典制御理論工学のエッセンスをたくさん含む 古典 : 古くからある & 現在生き延びている史記 論語 孫子 三国志等 ( 良くないものは廃れてしまい残らない ) 230

231 フォアグランド バックグランド自己校正 フォアグランド自己校正仕事を中断し 学校で学習 バックグランド自己校正 ( アナログフィルタ等の ) 自動調整仕事をしながら学習 (on the job training) 生涯学習 ( 社会の変化に対応した学習 ) 231

232 フィードバックによる情報獲得フィードフォワードによる補正 人間の熟練動作の獲得過程フィードバック制御からフィードフォワード制御への移行自己校正フィードバック構成で誤差測定フィードフォワードで補正 232

233 バックグランド自己校正と自動調整 ADC バックグランド自己校正 アナログフィルタの自動調整 共通点あり & 相違点あり 233

234 能動計測と受動計測 能動計測測定対象に積極的に入力を与えて結果 ( 出力 ) を計測 基準信号を与えて自己校正 受動計測測定対象の入力は意図的ではない 出力を計測 基準信号を不要で自己校正 234

235 自己校正による計測 Divide & Conquer Superposition 1mm の精度で100m までを測定 1mm 精度で100m の物差しは非現実的 1mm 精度で30cm の物差しを用いるダイナミックレンジを制限 (divide & conquer) それをつなぎ合わせる (superposition) 235

236 計測器はテクノロジドライバ 計測器で用いられている技術 民生製品に降りてくる 236

237 収束 学習の早さを考える Split ADC 2 つの異なる論理 整合するように自動調整 収束が早い 1 つのことを別の観点から学ぶ 知識の習得 学習が早い 237

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

スライド 1

スライド 1 第 47 回集積回路技術リテラシー研究会 2017/10/2 トリガ回路を用いた 積分型時間デジタイザ回路 佐々木優斗 小澤祐喜 小林春夫 群馬大学理工学部電子情報理工学科小林研究室学部 4 年佐々木優斗 t14304053@gunma-u.ac.jp @ 東京工業大学すずかけ台キャンパス Kobayashi Lab. Gunma University アウトライン 2/36 研究背景 従来の時間デジタイザ回路

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

Microsoft PowerPoint - 計測2.ppt [互換モード]

Microsoft PowerPoint - 計測2.ppt [互換モード] Ⅱ データ変換と信号処理 1. アナログとデジタル 5. 周波数解析 2. オペアンプ 5.2 離散フーリエ変換 2.1 加算 減算回路 5.3 窓関数 2.2 微分 積分回路 6. ラプラス変換とz 変換 3. 変換器 ( アナログ入出力 ) 6.1 ラプラス変換 6.2 z 変換 3.3 サンプル ホールド回路 7. 信号処理 3.4 アナログ マルチプレクサ 7.1 不規則信号 4. データ変換

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究 CMOS RF 回路 ( アーキテクチャ ) と サンプリング回路の研究 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 974516 滝上征弥 指導教官小林春夫教授 発表内容 1.CMOS RF 回路 (a) 復調部アーキテクチャ (b) VCO 回路 ( 発振器 ) 2. サンプリング回路 (a) オシロスコープ トリガ回路 (b) CMOS コンパレータ回路 目的 無線通信システムの

More information

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X (

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X ( 第 週ラプラス変換 教科書 p.34~ 目標ラプラス変換の定義と意味を理解する フーリエ変換や Z 変換と並ぶ 信号解析やシステム設計における重要なツール ラプラス変換は波動現象や電気回路など様々な分野で 微分方程式を解くために利用されてきた ラプラス変換を用いることで微分方程式は代数方程式に変換される また 工学上使われる主要な関数のラプラス変換は簡単な形の関数で表されるので これを ラプラス変換表

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

Microsoft PowerPoint - chap8.ppt

Microsoft PowerPoint - chap8.ppt 第 8 章 : フィードバック制御系の設計法 第 8 章 : フィードバック制御系の設計法 8. 設計手順と性能評価 キーワード : 設計手順, 性能評価 8. 補償による制御系設計 キーワード : ( 比例 ),( 積分 ),( 微分 ) 学習目標 : 一般的な制御系設計における手順と制御系の性能評価について学ぶ. 学習目標 : 補償の有効性について理解し, その設計手順を習得する. 第 8 章

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E >

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E > 目次 参考文献安達著 : 通信システム工学, 朝倉書店,7 年. ディジタル変調. ディジタル伝送系モデル 3. 符号判定誤り確率 4. 元対称通信路 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 変調とは?. ディジタル変調 基底帯域 ( ベースバンド ) 伝送の信号波形は零周波数付近のスペクトルを持っている. しかし, 現実の大部分の通信路は零周波数付近を殆ど伝送することができない帯域通信路とみなされる.

More information

Microsoft PowerPoint - ce07-13b.ppt

Microsoft PowerPoint - ce07-13b.ppt 制御工学 3 第 8 章 : フィードバック制御系の設計法 8. 設計手順と性能評価キーワード : 設計手順, 性能評価 8. ID 補償による制御系設計キーワード : ( 比例 ),I( 積分 ),D( 微分 ) 8.3 進み 遅れ補償による制御系設計キーワード : 遅れ補償, 進み補償 学習目標 : 一般的な制御系設計における手順と制御系の性能評価について学ぶ. ループ整形の考え方を用いて, 遅れ補償,

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

Microsoft PowerPoint - 6.PID制御.pptx

Microsoft PowerPoint - 6.PID制御.pptx プロセス制御工学 6.PID 制御 京都大学 加納学 Division of Process Control & Process Systems Engineering Department of Chemical Engineering, Kyoto University manabu@cheme.kyoto-u.ac.jp http://www-pse.cheme.kyoto-u.ac.jp/~kano/

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

PFC回路とAC-DC変換回路の研究

PFC回路とAC-DC変換回路の研究 第 2 回電気学会東京支部栃木 群馬支所合同研究発表会 2012/2/29 EG1112 PFC 回路と ACDC 変換器 村上和貴小堀康功邢林高虹 小野澤昌徳小林春夫高井伸和新津葵一 ( 群馬大学 ) Outline 研究背景と目的 PFCについて 従来 PFC 付 ACDC 変換器 新提案 PFC 付 ACDC 変換器 シミュレーションによる検討 まとめ Outline 研究背景と目的 PFCについて

More information

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 となるように半固定抵抗器を調整する ( ゼロ点調整のため ) 図 1 非反転増幅器 2010 年度版物理工学実験法

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

ディジタル信号処理

ディジタル信号処理 ディジタルフィルタの設計法. 逆フィルター. 直線位相 FIR フィルタの設計. 窓関数法による FIR フィルタの設計.5 時間領域での FIR フィルタの設計 3. アナログフィルタを基にしたディジタル IIR フィルタの設計法 I 4. アナログフィルタを基にしたディジタル IIR フィルタの設計法 II 5. 双 次フィルタ LI 離散時間システムの基礎式の証明 [ ] 4. ] [ ]*

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 計測展 2007 TOKYO 計測技術者が知っておくべき アナログ回路の基礎 電子計測者のためのアナログ技術再入門 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

オペアンプの容量負荷による発振について

オペアンプの容量負荷による発振について Alicatin Nte オペアンプシリーズ オペアンプの容量負荷による発振について 目次 :. オペアンプの周波数特性について 2. 位相遅れと発振について 3. オペアンプの位相遅れの原因 4. 安定性の確認方法 ( 増幅回路 ) 5. 安定性の確認方法 ( 全帰還回路 / ボルテージフォロア ) 6. 安定性の確認方法まとめ 7. 容量負荷による発振の対策方法 ( 出力分離抵抗 ) 8. 容量負荷による発振の対策方法

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

Microsoft PowerPoint - H22制御工学I-2回.ppt

Microsoft PowerPoint - H22制御工学I-2回.ppt 制御工学 I 第二回ラプラス変換 平成 年 4 月 9 日 /4/9 授業の予定 制御工学概論 ( 回 ) 制御技術は現在様々な工学分野において重要な基本技術となっている 工学における制御工学の位置づけと歴史について説明する さらに 制御システムの基本構成と種類を紹介する ラプラス変換 ( 回 ) 制御工学 特に古典制御ではラプラス変換が重要な役割を果たしている ラプラス変換と逆ラプラス変換の定義を紹介し

More information

スライド 1

スライド 1 アナログ検定 2014 1 アナログ検定 2014 出題意図 電子回路のアナログ的な振る舞いを原理原則に立ち返って解明できる能力 部品の特性や限界を踏まえた上で部品の性能を最大限に引き出せる能力 記憶した知識や計算でない アナログ技術を使いこなすための基本的な知識 知見 ( ナレッジ ) を問う問題 ボーデ線図などからシステムの特性を理解し 特性改善を行うための基本的な知識を問う問題 CAD や回路シミュレーションツールの限界を知った上で

More information

AD

AD AD 1110800673 2015 2 25 1 1 1.1..................................... 1 1.2................................... 3 2 4 2.1....................... 4 2.2 TDC................................ 5 2.2.1.....................................

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

スライド 1

スライド 1 作成 : 群馬大学電気電子教員 電子回路設計 OP アンプ (2) 小林春夫 桑名杏奈 Email: koba@gunma-u.ac.jp Tel: 277-3-788 オフィスアワー : AM9:~AM:( 平日 ) 電気電子棟 (3 号館 )4F 44 室 電子回路設計 授業の内容 第 回講義内容の説明と電子回路設計の基礎知識 第 2 回キルヒホッフ則を用いた回路解析と演習 第 3 回集積回路のデバイス

More information

スライド 1

スライド 1 電気情報通信学会 変調 ADC を用いたモータ駆動用 ディジタル信号処理方式の検討 群馬大学 : 小堀 古谷 山田 佐藤 田浦 森 光野 小林 ( 和 ) 小林 ( 春 ) ルネサステクノロジ : 鴻上 黒岩 黒澤 1 背景 1. 背景と目的 2. 回路構成と提案方式 3. 変調 ADCとディジタル制御方式 4. リア デシメーションフィルタ方式 5. シミュレーション結果 6. 結論 2 Areal

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

線形システム応答 Linear System response

線形システム応答 Linear System response 画質が異なる画像例 コントラスト劣 コントラスト優 コントラスト普 鮮鋭性 普 鮮鋭性 優 鮮鋭性 劣 粒状性 普 粒状性 劣 粒状性 優 医用画像の画質 コントラスト, 鮮鋭性, 粒状性の要因が互いに密接に関わり合って形成されている. 比 鮮鋭性 コントラスト 反 反 粒状性 増感紙 - フィルム系での 3 要因の関係 ディジタル画像処理系でもおよそ成り立つ WS u MTFu 画質に影響する因子

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

Microsoft PowerPoint - H22制御工学I-10回.ppt

Microsoft PowerPoint - H22制御工学I-10回.ppt 制御工学 I 第 回 安定性 ラウス, フルビッツの安定判別 平成 年 6 月 日 /6/ 授業の予定 制御工学概論 ( 回 ) 制御技術は現在様々な工学分野において重要な基本技術となっている 工学における制御工学の位置づけと歴史について説明する さらに 制御システムの基本構成と種類を紹介する ラプラス変換 ( 回 ) 制御工学 特に古典制御ではラプラス変換が重要な役割を果たしている ラプラス変換と逆ラプラス変換の定義を紹介し

More information

資料 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 90~108MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 90~10

資料 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 90~108MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 90~10 資料 4-6-3-1 ISDB-T SB 信号から FM 受信機への干渉実験結果 1 実験の目的および方法 実験の目的 9~8MHz 帯のISDB-T SB 信号からFM 放送波への影響について干渉実験を行う 実験方法 FM 放送波を 89.9MHz に ISDB-T SB 信号を 9~8MHz 帯に配 置し FM 放送の所要の受信品質を満足するための干渉 DU 比を求める 評価基準 S/N=5dBを満足する受信品質を所要の受信品質とする

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft PowerPoint - 第3回2.ppt

Microsoft PowerPoint - 第3回2.ppt 講義内容 講義内容 次元ベクトル 関数の直交性フーリエ級数 次元代表的な対の諸性質コンボリューション たたみこみ積分 サンプリング定理 次元離散 次元空間周波数の概念 次元代表的な 次元対 次元離散 次元ベクトル 関数の直交性フーリエ級数 次元代表的な対の諸性質コンボリューション たたみこみ積分 サンプリング定理 次元離散 次元空間周波数の概念 次元代表的な 次元対 次元離散 ベクトルの直交性 3

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

Microsoft PowerPoint - 受信機.ppt[読み取り専用]

Microsoft PowerPoint - 受信機.ppt[読み取り専用] 受信機 1. 直線受信機 2. スーパヘテロダイン受信機 受信機 1.AM 受信機 DSB 受信機 SSB 受信機 2.FM 受信機 高周波増幅器 アンテナで受信した希望周波数 f s を増幅する 周波数変換回路 混合器と局部発振器からなり 高周波増幅された信号を中間周波数に変換する 局部発振器 スーパヘテロダイン受信機の局部発信周波数は受信周波数より中間周波数だけ高く ( 低く ) 設定する 混合器

More information

通信概論2011第2-3週.ppt

通信概論2011第2-3週.ppt まずは : アナログ通信の信号と変調! まず音声 映像情報を電気信号に "! 電気信号を通信のためのキャリア変調 "! 振幅変調 (AM 変調 ) 搬送波 ( キャリア ) の信号強度包絡線を変化 DSB( 搬送波パワーを省略 ) パワー節約 SSB( 両翼サイドバンドを片翼に ) 周波数節約 " S/N はどうなる?! 位相変調 (PM) 周波数変調 (FM) あとで勉強します " アナログ伝送のための変調方式!

More information

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht 第 章復調回路 古橋武.1 組み立て.2 理論.2.1 ダイオードの特性と復調波形.2.2 バイアス回路と復調波形.2.3 復調回路 (II).3 倍電圧検波回路.3.1 倍電圧検波回路 (I).3.2 バイアス回路付き倍電圧検波回路 本稿の Web ページ http://mybook-pub-site.sakura.ne.jp/radio_note/index.html 1 C 4 C 4 C 6

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc)

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc) ディジタル PLL 理論と実践 有限会社 SP システム 目次 - 目次 1. はじめに...3 2. アナログ PLL...4 2.1 PLL の系...4 2.1.1 位相比較器...4 2.1.2 ループフィルタ...4 2.1.3 電圧制御発振器 (VCO)...4 2.1.4 分周器...5 2.2 ループフィルタ抜きの PLL 伝達関数...5 2.3 ループフィルタ...6 2.3.1

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

Microsoft PowerPoint - 22.pptx

Microsoft PowerPoint - 22.pptx 2.2 要素回路の機能 代表的なアナログ要素回路の機能を学ぼう ( 注 ) アナログ要素回路は 基本論理ゲートよりかなり複雑ですが ここでは トランジスタ回路を理解する必要はありません 各回路がどのような機能を持っているか どのような点に注意して使用しないといけないかだけ理解してください 1 2.2.1 表記上の注意 2 MOSFET の記号 MOSFET の記号 (Symbol) は いろいろな書き方があるので覚えておこう

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

Microsoft PowerPoint - aep_1.ppt [互換モード]

Microsoft PowerPoint - aep_1.ppt [互換モード] 物理計測法特論 No.1 第 1 章 : 信号と雑音 本講義の主題 雑音の性質を理解することで 信号と雑音の大きさが非常に近い状態での信号の測定技術 : 微小信号計測 について学ぶ 講義の Web http://www.g-munu.t.u-tokyo.ac.jp/mio/note/sig_mes/tokuron.html 物理学の基本は実験事実の積み重ねである そして それは何かを測定することから始まる

More information

株式会社xx御中

株式会社xx御中 SAW 共振子による低位相雑音発振器 と次世代携帯電話への応用 電子情報通信学会春季総合大会於名城大学 アール エフ アーキテクチャ株式会社 森榮真一 2017 年 3 月 26 日 サマリー : 次世代携帯電話向けローカル発振器の提案と検証 次世代携帯電話通信においては 更なる高速 大容量化が期待されている 今後 携帯電回線高速化の実現のために 利用電波帯域は数十 GHz 帯への移行が予測される

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

オーバーサンプリングによる ADC12 の高分解能

オーバーサンプリングによる ADC12 の高分解能 www.tij.co.jp アプリケーション レポート JAJA088-2007 年 8 月 ADC12 オーバーサンプリングによる高分解能の実現 Harman Grewal ( 日本テキサス インスツルメンツ ( 株 ) 菅原仁 訳 ) MSP430 まえがきこのアプリケーション レポートでは オーバーサンプリング手法により ADコンバータ (ADC) が提供するビット数よりも高い分解能を実現する方法を説明します

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

Microsoft PowerPoint - Presentation.ppt

Microsoft PowerPoint - Presentation.ppt サンプリングミキサを用いたワンセグ放送用 uner フロントエンドの研究 A sudy on he fron end for he uner using sampling mixer in ISDB-T 笹井重徳 小島貴志 馬上崇 李寧 倉科隆 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤研究室 目次 研究背景 サンプリングミキサワンセグ放送 サンプリングミキサ 結論 提案回路の特徴パラメータの決定

More information

SAP11_03

SAP11_03 第 3 回 音声音響信号処理 ( 線形予測分析と自己回帰モデル ) 亀岡弘和 東京大学大学院情報理工学系研究科日本電信電話株式会社 NTT コミュニケーション科学基礎研究所 講義内容 ( キーワード ) 信号処理 符号化 標準化の実用システム例の紹介情報通信の基本 ( 誤り検出 訂正符号 変調 IP) 符号化技術の基本 ( 量子化 予測 変換 圧縮 ) 音声分析 合成 認識 強調 音楽信号処理統計的信号処理の基礎

More information

Microsoft Word - 実験テキスト2005.doc

Microsoft Word - 実験テキスト2005.doc 7. プロセスの動特性 [Ⅰ] 目的液レベル制御実験および同シミュレーションを通して ステップ応答に基づくプロセス伝達関数の同定方法 ステップ応答法による PI 制御パラメータの調整方法 および PI 制御パラメータが制御性能へ与える影響について習熟する さらに 制御シミュレーションを通して むだ時間を有するプロセスに対するスミス補償型制御の有効性を確認する [Ⅱ] 理論 2.1 ステップ応答実験による伝達関数の同定

More information

スペクトルに対応する英語はスペクトラム(spectrum)です

スペクトルに対応する英語はスペクトラム(spectrum)です 7. ハミング窓とフラットトップ窓の等価ノイズ帯域幅 (ENBW) (1) Hamming 窓 Hamming 窓は次式で表されます MaTX にも関数が用意されています win = 0.54-0.46*cos(2*PI*[k/(N-1)); ただし k=0,1,---,n-1 N=256; K=[0:N-1]; w=0.54-0.46*cos(2*pi*k/(n-1)); mgplot_reset(1);

More information

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 本研究課題の背景 (1/2) ( 従来技術とその問題点 ) LSI の微細化 高速化 低電圧化 - ノイズマージンの低下化 - ノイズ ( ソフトエラー,

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 2012 年 1 月 30 日 ( 火 ) 計 測 工 学 第 3 回 講 義 日 米 の 大 学 私 観 ADCのデジタル 誤 差 補 正 自 己 校 正 技 術 就 職 戦 線 にのぞむに 際 して 小 林 春 夫 群 馬 大 学 大 学 院 工 学 研 究 科 電 気 電 子 工 学 専 攻 k_haruo@el.gunma-u.ac.jp http://www.el.gunma-u.ac.jp/~kobaweb/

More information

ムーアの法則に関するレポート

ムーアの法則に関するレポート 情報理工学実験レポート 実験テーマ名 : ムーアの法則に関する調査 職員番号 4570 氏名蚊野浩 提出日 2019 年 4 月 9 日 要約 大規模集積回路のトランジスタ数が 18 ヶ月で2 倍になる というムーアの法則を検証した その結果 Intel 社のマイクロプロセッサに関して 1971 年から 2016 年の平均で 26.4 ヶ月に2 倍 というペースであった このことからムーアの法則のペースが遅くなっていることがわかった

More information

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package)

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package) p.1 p.2 3. オペアンプ回路の基礎 3.1.2 理想オペアンプ Vcc A: Open Loop Gain 3.1 オペアンプとは ~ 計測基礎回路 ~ 1 2 Zin Zout =A(12) Vcc 理想条件下のオペアンプは上記のような等価回路として考えることができる 1. 2. 3. 4. 一般的な回路記号 新 JIS 記号 5. 6. 市販製品外観例 内部の構成回路例 (NJM4580DD)

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

Microsoft Word - NumericalComputation.docx

Microsoft Word - NumericalComputation.docx 数値計算入門 武尾英哉. 離散数学と数値計算 数学的解法の中には理論計算では求められないものもある. 例えば, 定積分は, まずは積分 ( 被積分関数の原始関数をみつけること できなければ値を得ることはできない. また, ある関数の所定の値における微分値を得るには, まずその関数の微分ができなければならない. さらに代数方程式の解を得るためには, 解析的に代数方程式を解く必要がある. ところが, これらは必ずしも解析的に導けるとは限らない.

More information

DVIOUT

DVIOUT 第 章 離散フーリエ変換 離散フーリエ変換 これまで 私たちは連続関数に対するフーリエ変換およびフーリエ積分 ( 逆フーリエ変換 ) について学んできました この節では フーリエ変換を離散化した離散フーリエ変換について学びましょう 自然現象 ( 音声 ) などを観測して得られる波 ( 信号値 ; 観測値 ) は 通常 電気信号による連続的な波として観測機器から出力されます しかしながら コンピュータはこの様な連続的な波を直接扱うことができないため

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output 10-1 10.1 降圧形 昇圧形 SIDO 電源 (1)

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output H28 群馬大学大学院講義パワーエレクトロニクス工学論

More information

画像処理工学

画像処理工学 画像処理工学 画像の空間周波数解析とテクスチャ特徴 フーリエ変換の基本概念 信号波形のフーリエ変換 信号波形を周波数の異なる三角関数 ( 正弦波など ) に分解する 逆に, 周波数の異なる三角関数を重ねあわせることにより, 任意の信号波形を合成できる 正弦波の重ね合わせによる矩形波の表現 フーリエ変換の基本概念 フーリエ変換 次元信号 f (t) のフーリエ変換 変換 ( ω) ( ) ωt F f

More information

PowerPoint Presentation

PowerPoint Presentation MWE2009 最新 RF アナログ デジタル融合技術 ワークショップ 01-1 2009 年 11 月 25 日 完全デジタル PLL 技術の動向 Review of All Digital PLL (ADPLL) 小林春夫 ( 群馬大 ) 内藤智洋高橋伸夫壇徹 ( 三洋半導体 ) 1 発表内容 1. はじめに 2.PLLの構成と役割 3.ADPLL 回路の特長 4.ADPLL 回路の基本構成 5.ADPLLの位相ノイズ

More information

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術 平成 27 年度電子回路研究会高知市文化プラザかるぽーと Signal-Suppression Feed Forward ( 信号抑制フィードフォワード ) を用いた広帯域 LNA の低消費電力ノイズキャンセル技術 興大樹, 河内智, 李从兵, 神山雅貴, 高橋伸夫 ( 群馬大学 ) 馬場清一 ( 豊橋技術科学大学 ), 壇徹 ( オン セミコンダクター ) 小林春夫 ( 群馬大学 ) Gunma-univ.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 20150528 信号処理システム特論 本日の内容 適応フィルタ ( 時間領域 ) 適応アルゴリズム (LMS,NLMS,RLS) 適応フィルタの応用例 適応処理 非適応処理 : 状況によらずいつでも同じ処理 適応処理 : 状況に応じた適切な処理 高度な適応処理の例 雑音抑圧, 音響エコーキャンセラ, 騒音制御など 時間領域の適応フィルタ 誤差信号 与えられた手順に従ってフィルタ係数を更新し 自動的に所望の信号を得るフィルタ

More information