starc_verilog_hdl pptx

Size: px
Start display at page:

Download "starc_verilog_hdl pptx"

Transcription

1

2 !!!!!!!

3 ! ! RTL : 1! 1 2! ! :

4 ! 1.7. FPGA 1 FPGA FPGA 1.5.2! ! 3! DFT! LSI :! 2 :

5 ! ON FPGA!!! FPGA! FPGA!

6 !!!!!

7 ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU

8 !! 20!! C! VHDL! Xilinx FPGA!

9 MISRA-C MISRA-C MISRA-C MISRA-C ISBN: , workinggroup/workinggroup3/misra-c_guigebook.htm MISRA-C MISRA-C MISRA-C SESSAME

10 ! MISRA-C!!!!! RTL! HDL,!!!

11 !!!!!!

12 (Design automation)/ (Behavioural languages) IEEE IEC VHDL, Verilog-HDL RTL (STARC:Semiconductor Technology Academic Research Center) Xilinx Altera 1 HDL

13 ! (Design automation)/hdl : IEEE! VHDL:VHSIC Hardware Description Language! VHSIC: Very High Speed Integrated Circuits! Verilog-HDL! (Behavioural languages):iec! IEEE

14 IEC/IEEE , Part 1: VHDL language reference manual IEC/IEEE , Part 2: VHDL multilogic system for model interoperability IEC/IEEE , Part 3-2: Mathematical operation in VHDL IEC/IEEE , Part 3-3: Synthesis in VHDL) IEC/IEEE , Part 4: Verilog hardware description language IEC/IEEE , Part 6: VHDL Analog and Mixed-Signal Extensions IEC/IEEE , Part7: System C Language Reference Manual IEC 62530/IEEE Std : Standard for SystemVerilog - Unified Hardware Design, Specification, and Verification Language

15 ! VHDL Working Groups! P1076 Standard VHDL Language Reference Manual (VASG)! P Standard VHDL Analog and Mixed-Signal Extensions (VHDL-AMS)! P Standard VHDL Analog and Mixed-Signal Extensions - Packages for Multiple Energy Domain Support (StdPkgs)! P Standard VITAL ASIC (Application Specific Integrated Circuit) Modeling Specification (VITAL)! P1800 SystemVerilog: Unified Hardware Design, Specification and Verification Language! P1850 Standard for PSL: Property Specification Language

16 (cont.)! P1481 Standard for Integrated Circuit (IC) Open Library Architecture (OLA)! P1647 Standard for the Functional Verification Language 'e' (ewg)! P1666 Standard System C Language Reference Manual (system c)! P1685 SPIRIT XML Standard for IP Description! P1699 Rosetta System Level Design Language Standard! P1735 Recommended Practice for Encryption and [Use Rights] Management of Electronic Design Intellectual Property (IP)! P1778 ESTEREL v7 Language Standardization! P1801 Standard for the Design & Verification of Low Power ICs

17 ! P IEEE Standard VHDL Mathematical Packages (math)! P Standard VHDL Synthesis Packages (vhdlsynth)! P1164 Standard Multivalue Logic System for VHDL Model Interoperability (Std_logic_1164) (vhdl-std-logic)! P Standard for Verilog Register Transfer Level Synthesis (VLOG-Synth)! P1497 Standard for Standard Delay Format (SDF) for the Electronic Design Process! P1499 Standard Interface for Hardware Description Models of Electronic Components! P1577 Object Oriented VHDL (oovhdl)! P1603 Standard for an Advanced Library Format (ALF) Describing Integrated Circuit (IC) Technology, Cells, and Blocks! P1604 Library IEEE! P Standard for VHDL Register Transfer Level (RTL) Synthesis

18 ! Chairman : Mr Osamu Karatsu (JP)! Liaisons : Internal IEC Liaison : SC 3D, SC 23J, SC 65E, TC 91! Liaison ISO : ISO/TC 44/SC 12, ISO/TC 184! Working Group :! WG 1 - Electronical data harmonization (Approaches, methodologies and technologies)! WG 2 - Component, circuit and system description languages! WG 3 - Product Data Exchange (PDX) characteristics and methodology! WG 5 - Test, validation, conformance and qualification technologies! WG 6 - Library of Reusable Parts for Electrotechnical Products! WG 7 - Testing of Electrotechnical Products! Joint Working Group : JWG 11 - Product description standard for printed board, printed board assembly, and testing in XML schema

19 VHDL Verilog-HDL 600 VHDL Verilog-HLD 2 (pdf 2 )

20 !!!!!!!

21

22

23 ! <1>,<2>,<3>,<4>! (A.x), (B.x), (C.x), (D.x)! Verilog 2.0! RTL!!

24 (A) A <1> A.2 <2> 1.2 (A.2.1) 1.3 (A2.2) 1.4 (A.2.3) 1.5 (A.2.4) A.3 <4> 1.6 (A.3.1) 1.7 FPGA(A.3.2)<Verilog-HDL ver.2>

25 (B) B.1 always <2> 2.1 (B.1.1) 2.2 always (B.1.2) 2.3 FF (B.1.3) 2.4 (B.1.4) 2.5 (B.1.5) 2.6 always (B.1.6) B.2 <2> 2.7 if (B.2.1) 2.8 case (B.2.2) 2.9 for (B.2.3) B.3 RTL 2.10 (B.3.1) <2> 2.11 (B.3.2) <3>

26 (C) C.1 <3> 3.1 (C.1.1) 3.2 (C.1.2) 3.3 (DFT)(C.2) <4> 3.4 (C.3) <3> 3.5, (C.4) <1>

27 (D) D.1 (test bench) 4.1 (D.1.1) <2> 4.2 (Task description)(d.1.2) <3> 4.3 (Verification process )(D.2) <3> 4.4 (simulation)(d.3) <4> 4.5 (static) (timing) (D.4) <3>

28 ! ! ! ! include define (VHDL )!

29 ! < >.v (Verilog) [ 2]! _ [ ]! Verilog HDL(IEEE1364), SystemVerilog(IEEE1800), VHDL(IEEE1076.X) [ ]! VDD, VSS, VCC, GND, VREF [ ]! / (Abc,abc ) [ ] OS! _ [ 1]! ( _X, _N ) [ 2]< _X _N >! < >_< > (Verilog) [ 3]! 16 / [ 1]! ASIC [ ]

30 ! 2 32 [ ] 1 1! 16 2! 128 3! (TOP) ( ) [ 3]! [ 3]! < > + _ [ 3]! [ ]! [ 2]! 2

31 ! [ ]! [ ]! define 2 40 (Verilog) [ ] 1 1! 24 2

32 ! include RTL.h,.vh,.inc.h,.inc,.ht,.tsk (Verilog HDL only) [ 2]! (Verilog) [ 3]! (Verilog) [ 3]! define (Verilog HDL only) [ 1]! 1 [ ]! [ 1]!! [ 3]! < > b, h, d, o (Verilog HDL only) [ 1]! 32 (Verilog HDL only)! [ ]

33 ! [ 3]! CLK CK RST_X RESET_X EN [ 3]! [ ]! [ ]

34 ! 1 FPGA [ 1]! FPGA ASIC CORE [ ]! FPGA, ASIC `ifdef [ ]

35 ! ! ! ! ! ! ! ( CVS )! ( CVS )! ( CVS )! ( CVS )!

36 ! RTL Sim [ ]! RTL [ 1]! [ 2]! [ 1]

37 ! 1 master [ 1]! RTL < >.v (Verilog) [ 1]! _tb.v, _test.v,.vt (Verilog) [ 3]! < >.v.vnet (Verilog) [ 3]! include RTL ".h",".vh",".inc" ".h",".inc",".ht",".tsk" (Verilog HDL only) [ 2]! Unix.run [ 3]! (Verilog HDL).v_scr [ 3]! lint.l_scr [ 3]!.scr [ 3]! log.log [ 3]! lint log.l_log [ 3]!.rep.tim.ara [ 3]! SDF.sdf [ 1]! EDIF.edif.edf [ 1]!.db [ 1]

38 ! [ 1]! [ 1]! [ 1]! CVS [ 3] < : RCS Subversion CVS >

39 ! [ 1]! CVS [ ]! < : RCS Subversion CVS >

40 ! [ 2]! [ 2]! < >

41 [ 2] [ 2] 1 [ 2] [ 2] EDA [ ] EUC [ 1] // [ 3]

42 !!!!! //! /* */ /* /* MISRA-C! /* */

43 !!

44 10 SWEST (KWIC:key word in context)

45

46 RTL1.2 46

47 RTL1.3

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

5005-toku3.indd

5005-toku3.indd 3 1 CMMICMM Capability Maturity Model ISO : International Organization for Standardization IEC : International Electrotechnical CommissionJTC1 : Joint Technical Committee 1SC7 : Sub Committee 7 SC7 WG

More information

PowerPoint

PowerPoint 2011 12 6PTC Live TechForum TOKYO manji@rd.denso.co.jp 1/ 52 1949 12 16 1,874 ( ) 1 9,457 3 1,315 ( ) 38,318 123,165 ( ) 2011 3 31 Gr. Gr. Gr. Gr. Gr. PF 2/ 52 1 2 3 4PMT 5 Integrity 6 7 8 1/2 3/ 52 OEM

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

2-工業会活動.indd

2-工業会活動.indd 工業会活動 ~SAE(Society of Automotive Engineers) 委員会参加報告 ~ 1. はじめに SAE RTCA Radio Technical Commission for Aeronautics ARINC Aeronautical Radio, Incorporated SAE RTCA ARINC FAA Federal Aviation Administration

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

DAシンポ2003_SLD研_発表原稿

DAシンポ2003_SLD研_発表原稿 DA 2003 - JEITA SLD - JEITA E D A S L D NEC http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Copyright 2002-2003 JEITA, All rights reserved SLD Copyright 2002-2003 JEITA, All rights

More information

T - Telecommunication and Internet Protocol Harmonization Over Networks (TIPHON); Service and Network Management Framework; Part 1: Overview and Intro

T - Telecommunication and Internet Protocol Harmonization Over Networks (TIPHON); Service and Network Management Framework; Part 1: Overview and Intro T - Telecommunication and Internet Protocol Harmonization Over Networks (TIPHON); Service and Network Management Framework; Part 1: Overview and Introduction (DTR/TIPHON-01004-1 V0.1.5) 1.0 2001 8 30 THE

More information

JIIAセミナー

JIIAセミナー Digital Interface IIDC URL teli.co.jp/ E-Mail http://www.toshiba-teli.co.jp teli.co.jp/ s-itokawa@toshiba-teli.co.jpteli.co.jp EIA,NTSC EIA,NTSC 4-5 JIIA JIIA - / Digital Interface Digital Interface IEEE1394

More information

untitled

untitled DCMI nagamori@slis.tsukuba.ac.jp DCMI Metadata Schema Registry DCMI Dublin Core Metadata Initiative authoritative source 2004/10/29 2 1 Metadata is the information and documentation which makes data understandable

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

2. IEC61508 ISO WD IEC6150 SIL( Safety Integrity Level ) ISO WD2626 ASIL( Automotive Safety Integrity Level ) SIL/ASIL (tolerable risk) (Residu

2. IEC61508 ISO WD IEC6150 SIL( Safety Integrity Level ) ISO WD2626 ASIL( Automotive Safety Integrity Level ) SIL/ASIL (tolerable risk) (Residu Consideration of requirement of decomposition for a safety related system NEC IEC61508 ISO 26262 We considered the concept of system decomposition paying attention to the decomposition concept of the system

More information

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT

Microsoft PowerPoint - IEEE-SA seminar JP final Apr2008.PPT 日本 EDA 標準化の推進状況 IEEE-SA 東京セミナー 2008 年 5 月 14 日 JEITA EDA 技術専門委員会 特別委員 IEC TC93 WG2 コ コンベナ NEC システムテクノロジー ( 株 ) CWB 事業推進室テクニカル ディレクター小島智 1 はじめに EDA とは? EDA 標準の位置付け EDA 標準のエレクトロニクス産業への貢献 JEITA EDA 技術専門委員会での

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

untitled

untitled UP 2008/2/16 20080216 UP 1. 28% SFA 2. 3. 2008/02/16 (C)2008 2 1 UP 2008/2/16 20081 () ABC 20078 MR MR 2008/02/16 (C)2008 3 2008/02/16 (C)2008 4 2 UP 2008/2/16 1. 2. 3. 4. 5. 6. 2008 2008/02/16 (C)2008

More information

001†`032 (Page 1)

001†`032 (Page 1) ISO の国際標準化専門委員会 2 International Organization for Standardization (ISO) CASCO COPOLCO DEVCO INFCO General Assembly Member Bodies Correspondent Members Subscriber Members Council Technical Management

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

MAAB でのプレゼンテーション に関する提案

MAAB でのプレゼンテーション に関する提案 J-MAAB 2005 10 27 (1) OBD (2) (3) (4) OEM (5) MAAB J-MAAB 1. MBD (Model-Based Development) MBD Process 2. MAAB MAAB 3. J (Japan) -MAAB J-MAAB 4. J-MAAB WG WG 5. MBD Virtual World *SILS Rapid *HILS Real

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli 1 -- 7 7 2008 12 7-1 7-2 c 2011 1/(12) 1 -- 7 -- 7 7--1 2008 12 1960 1970 1972 U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE 7--1--1 7 1 7 1 1 netlist SPICE 2)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

03-3817-5670FAX 03-3815-8199 IEC IPA SEC (JIS X (JIS X IEC IEC IEC SIL(Safety Integrity Level)SIL SIL SILSIL IEC AD A B C D IEC ETC ETC V TV HDD GB GB HDD AECL LINAC e X x FTAFault Free Analysis SAFEWAREN

More information

Testing XML Performance

Testing XML Performance - DataPower Technology, Inc. XML Web 2003 5 DATAPOWER XML WEB - Copyright 2003DataPower Technology, Inc. All Rights Reserved. DataPower Technology, Inc. DataPower DataPower ( ) DataPower 2003 5 2/17 DATAPOWER

More information

untitled

untitled ISO 26262 : 2011(E) Functional safety nal 2 Managem ment of functio safety 3 Con ncept phase Annex B Examples for evaluating a safety culture Annex C (informative) Aim of the confirmation measures 8 Functional

More information

スライド 1

スライド 1 2007 10 9 PRIUS LS460 Direct Injection In E VVT-i 9 17 5 6 12 18 7 11 24 10 27 16 15 4 16 25 25 16 4 15 4 16 19 4 26 14 13 19 20 20 8 22 21 3 1 23 21 2 ECU 200 V6 3.0L 3GR-FSE (MIPS) CPU speed 400 100

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

nv09_win.qxd (Page 1)

nv09_win.qxd (Page 1) News and Views Providing Leading Electronic Design Automation Solutions FEATURE IC Nanometer Design より複雑化するアナログ ミックスシグナル検証に対応する クローズド ループ検証 Success Story FloTHERM で TV 筐体設計の熱課題を解決 Winter 2009 Vol.26 News

More information

" " " " IS 97BOK 1.2.10 2 1.3.7 1 1.7.3 1 2.3.2 2 2.3.4 IS 2 3.1.1 3 3.1.2 ) 3 3.1.3 3 3.1.4 3 1987 IS 97BOK 2.1.1 2.1.3 2.1.4 IS 2.1.5 IS IS 2.7 2.10.4 3.12 3.12.1 3.12.4 3.12.5 3.12.6 3.12.8 3.12.10

More information

SystemC H2’2000 Accomplishments

SystemC H2’2000 Accomplishments SystemC 2004 1 29 (Chairman of OSCI) http://www.systemc.org/ OSCI SystemC SystemC 2 OSCI Forte (2003 8 ) ST Microelectronics (2003 11 ) Board Member Alain M. Clouard Officer (2003 8 ) Chairman : ( ) President

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

PDFŠp…f†[…^

PDFŠp…f†[…^ DIGITAL IMAGING SYSTEM DICOM Digital Imaging and Communications in Medicine (DICOM) Part 1: Introduction and Overview NEMA Part 4 Service Class Specifications Part 3 Information Object

More information

COINS 5 2.1

COINS 5 2.1 COINS (0501699) 20 21 2 5 1 3 1.1....................................... 3 1.2..................................... 4 1.3....................................... 4 2 COINS 5 2.1 COINS..................................

More information

XMLを基盤とするビジネスプロトコルの動向

XMLを基盤とするビジネスプロトコルの動向 XML Trends of XML-Based Business Protocols ebxml Web XML ebxml UN/CEFACT EDIFACTOASIS XML Web W3C World Wide Web Consortium Abstract XML-based technologies such as the Electronic Business XML Initiative

More information

2-工業会活動.indd

2-工業会活動.indd 1. はじめに I S O I n t e r n a t i o n a l O r g a n i z a t i o n f o r Standardization ISO/TC20 SC9 7 10 12 3 1 P 1 CAAC 写真 1 会議風景 21 2. 背景 SC9 IATA International Air Transport Association liaison IATA

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

2

2 Copyright 2008 Nara Institute of Science and Technology / Osaka University 2 Copyright 2008 Nara Institute of Science and Technology / Osaka University CHAOS Report in US 1994 http://www.standishgroup.com/sample_research/

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

リテール・バンキング・システムのICカード対応に関する現状とその課題

リテール・バンキング・システムのICカード対応に関する現状とその課題 IC IC IC ATM IC IC ATM IC IC IC IC E-mail: yuuko.tamura@boj.or.jp E-mail: hirokawa@imes.boj.or.jp IC IC MS IC IC IC IC IC IC IC MS IC IC IC IC IC ICIC ICATM ATMIC IC IC ATMMS IC MS IC IC IC IC ATM IC ICIC

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

untitled

untitled http://www.ecompliance.co.jp 1.0 2006/05/26 Copyright(C) 2006 ecompliance Ltd. All Rights Reserved. Page 1 1.... 4 2.... 4 3.... 4 3.1... 4 3.2... 4 3.3... 4 3.4... 4 4.... 4 4.1... 4 4.2... 5 4.3... 5

More information

MAX1213N EV.J

MAX1213N EV.J 19-0610; Rev 0; 7/06 DESIGNATION QTY DESCRIPTION C1 C9, C13, C15, C16, C18, C19, C20, C35 C39, C49, C52 22 C10, C27, C28, C40 4 C11, C30 2 C12, C17, C58 C71 0 C14, C33 2 C21 C24 4 C25, C26, C51, C53, C54,

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

DS0 0/9/ a b c d u t (a) (b) (c) (d) [].,., Del Barrio [], Pilato [], [].,,. [],.,.,,.,.,,.,, 0%,..,,, 0,.,.,. (variable-latency unit)., (a) ( DFG ).,

DS0 0/9/ a b c d u t (a) (b) (c) (d) [].,., Del Barrio [], Pilato [], [].,,. [],.,.,,.,.,,.,, 0%,..,,, 0,.,.,. (variable-latency unit)., (a) ( DFG )., DS0 0/9/,.,,.,,,.,.,.0%,.%.,,,, Speculative Execution in Distributed Controllers for High-Level Synthesis Shimizu iho Ishiura Nagisa bstract: This article proposes a method of incorporating speculative

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

スライド 1

スライド 1 ライフサイクルプロセスに関する国際標準とソフトウェアファクトリ ISO/IEC 15288, ISO/IEC 12207, INCOSE Handbook and IEEE Std 1517 松本吉弘 工学博士 ; IEEE Life Fellow 京都高度技術研究所 All Rights Reserved Yoshihiro Matsumoto; 2007 1 対象とした国際標準 IEEE Std

More information

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT IT JIS Q 17025ISO/IEC 17025 (2) JIS Z 9358 ISO/IEC Guide 58 (3) testing

More information

XJTAG

XJTAG LDRA/ T-VEC/ MetaEdit+ Domain Specific Modeling Ashling/Jtag ARC SmartCards LAUTERBACH /Jtag ARM PowerPC K MIPS XJTAG HW Domain-Specific Modeling Domain-Specific Modeling Software Technology 30 Copyright

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

NLC配布用.ppt

NLC配布用.ppt Semantic Web September 20, 200 IBM( ) (uramoto@jp.ibm.com) Semantic Web ( )? Semantic Web 2 What can it do? (by Jim Hendler) 3 Semantic Web W3C Director Berners-Lee Web The Semantic Web is an extension

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

Structure Modeling of Hardware Structure XMI (Class) UML2 Fig. 1 Requirements Analysis System Analysis The UML modeling with the manual entry Action U

Structure Modeling of Hardware Structure XMI (Class) UML2 Fig. 1 Requirements Analysis System Analysis The UML modeling with the manual entry Action U UML 1 1 2 UML HDL The Verfication and Evalution to the Hard-ware Design Method using the UML Diagrams Daiki Kanou, 1 Ryota Yamazaki 1 and Naohiko Shimizu 2 In this paper, we will practice verification

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

untitled

untitled IT IT IT IT 1 IT 2 Software as a Service (SaaS 3 ) IT SaaS 4 SaaS SaaS PC SaaS SaaS Web SaaS ID IT SaaS IT 1 2 3 Software as a Service ASP(Application Service Provider) SaaS 4 ASPIC SaaS SaaS SaaS SaaS

More information

スライド タイトルなし

スライド タイトルなし DSRC 2003 9 24 ITS DSRC September 24, 2003 1 ( ) ITS 1 DSRC 2 DSRC 3. DSRC 4. DSRC 5. VSC 6 DSRC September 24, 2003 2 DSRC September 24, 2003 3 1. ETC DSRC 150 ( ) 2. ETC ITS - ETC Highway Highway Surveillance

More information

平成13年度事業報告

平成13年度事業報告 14 14 4 1 15 3 31 14 1 14 1 2 5 3 14 7 4 13 4.1 13 4.1.1 15 13 4.1.2 21 4.1.3 24 4.2 29 4.2.1 14 29 29 32 4.2.2 15 35 35 ( ) 36 5 ISOTC130 38 6 46 7 47 8 49 9 51 10 53 14 14 14 5 27 16 00 5 1 13 2 14 3

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N GPU 1 1 2 1, 3 2, 3 (Graphics Unit: GPU) GPU GPU GPU Evaluation of GPU Computing Based on An Automatic Program Generation Technology Makoto Sugawara, 1 Katsuto Sato, 1 Kazuhiko Komatsu, 2 Hiroyuki Takizawa

More information

スライド 1

スライド 1 VMware CIT Japan 006 6 CIT Agenda VMWARE Pfizer Japan Inc. 953 648 6 09 -5-00 Discovery Approaches 7,000,000 Compounds Screened,000 Screening Hits Candidates 6 Candidates Product 700 MR* MR Medical Representative

More information

untitled

untitled - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - ..... - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - -- - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29

More information

LSI LSI 2

LSI LSI 2 LSI LSI 2 P=CV 2 F 3 4 5 EDA Electric Design Automation) LSI CAD Computer Aided Design) Verilog Verify Logic VHDL VHSIC Description Language) SystemC C SFL Structured Functional description Language) NTT

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

プロダクトガイド・表1

プロダクトガイド・表1 ystem S o l u t i o n s Storage Solutions HP Solutions e-business Solutions Mechanical Computer-Aided Design Authoring Software to Create Better Product Documentation Contents Secure File Transfer Solution

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

untitled

untitled S ANIRTAO ANIR 1. 1.1. HAWAII-2 Rockwell Scientific Company(RSC: Teledyne) HAWAII-2 HAWAII-2 20482048 HgCdTe HAWAII-2 1 HAWAII-2 1 1 HAWAII-2 Rockwell Parameter Measured Performance Units Detector Interface

More information

LM5 & LM5D Manual

LM5 & LM5D Manual TC SUPPORT INTERACTIVE TC Support Interactive http://tcjsupport.custhelp.com TC Support Interactive TC My Stuff TC TC TC TC ELECTRONIC A/S Customer Support Sindalsvej 34 Risskov DK-8240 Denmark www.tcelectronic.com

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

XML RFID, RFID, RFID IP,, RFID EPC Global, RFID IP, RFID, RFID, IP, RFID, IP, RFID, RFID XML(Extensible Markup Language), RFID XML, RFID XML, RFID,, R

XML RFID, RFID, RFID IP,, RFID EPC Global, RFID IP, RFID, RFID, IP, RFID, IP, RFID, RFID XML(Extensible Markup Language), RFID XML, RFID XML, RFID,, R 18 XML RFID A solution of RFID network standards by an XML description 1070436 2007 3 9 XML RFID, RFID, RFID IP,, RFID EPC Global, RFID IP, RFID, RFID, IP, RFID, IP, RFID, RFID XML(Extensible Markup Language),

More information

ISO/IEC NITE) (IAJapan) 1 Contents ISO/IEC ISO/IEC 17025:2005 ISO 9001:2000 ISO/IEC ISO/IEC 17025:2005 ISO-ILAC-IAF 2

ISO/IEC NITE) (IAJapan) 1 Contents ISO/IEC ISO/IEC 17025:2005 ISO 9001:2000 ISO/IEC ISO/IEC 17025:2005 ISO-ILAC-IAF 2 ISO/IEC 17025 2005 NITE) (IAJapan) 1 Contents ISO/IEC 17025 ISO/IEC 17025:2005 ISO 9001:2000 ISO/IEC 2 6.8.2 ISO/IEC 17025:2005 ISO-ILAC-IAF 2 ISO 9001:2000 ISO/IEC 17025:1999 ISO 9001:1994 ISO 9001 2000

More information

SA B A B ( A B ) AB( A B) AB AB S A B A B A B A B ( A B ) ( A B) (S A) (S B) S ( A B) ( y 1 + y 2 + y 3 + y 5 ) mod2( y 1 + y 2 + y 4 + y 6 ) mod2( y

SA B A B ( A B ) AB( A B) AB AB S A B A B A B A B ( A B ) ( A B) (S A) (S B) S ( A B) ( y 1 + y 2 + y 3 + y 5 ) mod2( y 1 + y 2 + y 4 + y 6 ) mod2( y S A BA B A B A B A B A B A S A A BAB A B ( A B ) ( A B) ( S A ) ( S B ) S ( A B) 4x 1 x 2 x 3 x 4 ( x 1 + x 2 + x 3 + x 5 ) mod2 = 0 ( x 1 + x 2 + x 4 + x 6 ) mod2 = 0 ( x 2 + x 3 + x 4 + x 7 ) mod2 =

More information