untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 LMS FPGA

2 MMSE( Minimum Mean Square Error) MMSE LMS( Least Mean Square), RLS( Recursive Least Mean) ( ) LMS, N-LMS( Normalized - LMS) FPGA( Field Programmable Gate Array) FPGA, 2 FPGA N-LMS 2 FPGA RLS LMS, N-LMS RLS i

3 MMSE LMS N-LMS RLS FPGA LMS, N-LMS LMS N-LMS FPGA FPGA LMS N-LMS ii

4 31 32 iii

5 1 1.1 ) ) [1] 1.1: MMSE(Minimum Mean Square Error) 1

6 MMSE LMS (Least Mean Square) 2 RLS (Recursive Least-Squares) LMS N-LMS(Normalized - LMS) RLS 1.2 LMS, N-LMS, RLS FPGA 1.2: MMSE LMS, N-LMS FPGA (Field Programmable Gate Array) FPGA 2 FPGA 2

7 N-LMS 2 FPGA 2 (LMS, N-LMS), (RLS) 3

8 1.2 K (). () θ. E 0 (t) k. E k (t) = E 0 (t τ k ) (k =1, 2,..., K) (1.1) τ k = d k sin θ (1.2) c c d k k. d K d 1 f 2π f d K d 1 c 1 (1.3) f E 0 (t τ k ) = E 0 (t) exp( j2πfτ k ). (1.1) k. λ = c/f. E k (t) = E 0 (t) exp( j2πfτ k ) (1.4) = E 0 (t) exp( j2πf d k sin θ) c (1.5) = E 0 (t) exp( j 2π λ d k sin θ) (1.6) 4

9 (c: ) dksin = c k dk dk #K #k #1 d1 AK Ak A1 K k 1.3: K 1.3 () E sum (t) E sum (t) = K E 0 (t) A k exp( j2πf d k k=1 c sin θ + jδ k) (1.7) = E 0 (t)d(θ, f) (1.8) D(θ, f) = K k=1 A k exp{ j(2πf d k c sin θ δ k)} (1.9). A k δ k k ( ). δ k θ 0 ( ) δ k =2πf d k c sin θ 0 = 2π λ d k sin θ 0 (1.10).. 5

10 .. d k 2πf d k c sin θ gm δ k =2mπ (m = ±1, ±2,...) (1.11) θ gm ( θ 0 ). (grating lobe). (1.9) D(θ, f) θ (main lobe). (side lobe). (null). (1.7) A k δ k... (1.4). (adaptive beamforming) (adaptive null-steering)..... ( ).. 1) 2 (Minimum Mean Square Error : MMSE) 2) SNR (Maximum Signal-to-Noise ratio : MSN) 3) (Constrained Minimization of Power : CMP) 6

11 4) (Constant Modulus Algorithm : CMA) (). MMSE. w1 w2 wm 1.4: 7

12 1.3 MMSE MMSE ( ) ( 1.5) ( ) e(t) ( )r(t) y(t) e(t) =r(t) y(t) =r(t) w H x(t) (1.12) 2 ( 2 ). E[ e(t) 2 ] = E[ r(t) y(t) 2 ]=E[ r(t) w H x(t) 2 ] = E[ r(t) 2 ] w T r xr wh r xr + w H R xx w (1.13) R xx = E{x(t)x H (t)} (1.14) r xr = E[x(t)r (t)] (1.15) R xx r xr MMSE w (1.13) 2 8

13 x1(t) x2(t) w1 w2 y(t) xm(t) wm e(t) r(t) 1.5: MMSE (1.13) w 2 R xx 2 w ( ) (1.13) w E[ e(t) 2 ] = 0 (1.16) w E[ e(t) 2 ] 2 w opt w E[ e(t) 2 ]= 2r rx +2R xx w (1.17) w opt = R 1 xx r xr (1.18) R xx (1.18) (1.13) 2 E[ e(t) 2 min ] = E[ r(t) 2 ] w T opt r xr wh opt r xr + w H opt R xxw opt (1.19) = E[ r(t) 2 ] r H xr R 1 xx r xr (1.20) 9

14 (1.18) MMSE. (1.14) (1.15) LMS (Least Mean Square) SMI (Sample Matrix Inversion) 2 RLS (Recursive Least-Squares)... () [2]. SMI.SMI. SMI RLS.RLS SMI LMS W(m +1)=W(m)+ µ 2 WE[ e(m) 2 ] (1.21) µ (1.17) W E[ e(m) 2 ] W E[ e(m) 2 ] = 2r xd +2R xx W(m) = 2E[X(m)r (m)] + 2E[X(m)X H (m)]w(m) = 2E[X(m){r (m) X H (m)w(m)}] = 2E[X(m){r (m) y (m)}] = 2E[X(m)e (m)] (1.22) (1.21) W(m +1)=W(m)+µE[Xe (m)] (1.23) 10

15 W(m +1)=W(m)+µE[X(m)e (m)] (1.24) µ 0 <µ< 1 λ max (1.25) λ max R xx N-LMS Normalized LMS(N-LMS) LMS (1.26) [3] LMS NLMS [4]. µ = µ 0 x(m) 2 (1.26) RLS RLS SMI RLS n E(n) = α n i e(i) 2 (1.27) i=1 e(i) =r(i) y(i) =r(i) w H (n)x(i) (1.28) α 0 <α 1 (1.27) W R xx (n) = m α m i x(i)x H (i) (1.29) i=1 11

16 r xr (n) = m α m i x(i)y (i) (1.30) i=1 SMI RLS W(m +1) = W(m)+ γr xx (m) 1 X(m +1)e (m +1), (1.31) R xx (m) = αr xx (m 1) + X(m)X H (m), (1.32) γ = 1 α + X H (m +1)R xx (m) 1 X(m +1), (1.33) R 1 (0) = I/δ(δ ) RLS 12

17 1.4 FPGA FPGA( Feild Programmable Gate Array) FPGA : FPGA 13

18 2 LMS, N-LMS FPGA LMS, N-LMS 2.1 LMS RF( Radio Frequency) DBF IF IF A/D IF FPGA ( DDC) I, Q( in-phase,quadrature-phase) CPU( Central Processing unit) LMS x r 2.1 A/D

19 12r 12 A/D AGC( Automatic Gain Control) w #1 A/D DDC / Decimation RAM #2 #3 #4 Receiver A/D A/D DDC / Decimation DDC / Decimation DDC / A/D Decimation RF IF BB RAM RAM RAM Y(t) A/D BOARD LMS, N-LMS Ethernet CPU BOARD SH4 CPU 2.1: W X b [bit] b 1 Xe W r y e b [ bit] 2 2.2: LMS SNR 5,10,20 LMS 15

20 (MSE) MSE 2.1: 4 (λ/2) 2 30, 60 D/U 0[dB] SNR 5,10,20[dB] µ=0.125, 0.250, 0.500(= 1 2, 1 1 2, ) SNR SNR 5dB 20dB SNR 20dB MSE SNR 20[dB] µ=0.125, 0.250, 0.500(= 1, 1, 1 ) MSE LMS

21 stedt-state MSE [db] SNR[dB] fixed floating wor d length [bit] 2.3: (SNR) stedt-state MSE [db] - 21 µ fixed floating wor d length [bit] 2.4: ( ) 17

22 2.1.2 X, W, r (1.23) (1.23) w x e x m[bit] n[bit] =m n[bit] (2.1) y, xe b 1,b LMS MSE MSE b 1 b 1 b 2 b 1, b 2 16,5 y x e Mean Square Error[dB] b 2 [bit] b [bit] : 18

23 2.2 N-LMS N-LMS 2.6 (1.26) X 2 2 n (2 n 1 X 2 < 2 n +2 n 1 ) 2 n+1 (2 n +2 n 1 X 2 < 2 n+1 ). (2.2) n n< N-LMS 2.7 W X y e 2 Xe W S 2.6: NLMS ( ) W X y e Shift operation Xe W 2 S 2.7: NLMS ( ) 19

24 2.2.2 LMS X r 12 w 3.2 SNR =20dB µ 0 =0.25(= 1 ) 2 2 µ 0 =0.25(= 1 ) 2 2 µ 0 =0.25(= 1 ) µ 0 =0.25(= 1 ) w x e x x 3 wx, xe, x 2 b 1, b 2, b MSE x 2 x 2 b 3 11 x 2 x 2 (2.2) b 3 11bit LMS b 1, b 2 16, 4 20

25 stedt-state MSE [db] floating point fixed point(division) fixed point(bit-shift) wor d length [bit] 2.8: (N-LMS) stedt-state MSE [db] b1 [bit] b2 [bit] b3 [bit] floating divide bit-shift wor d length [bit] 2.9: (N-LMS) 21

26 3 FPGA 2 VHDL FPGA FPGA LMS 2 x s 12 w b 1 b 2 16, : LE [%] DSP Block [%] [MHz] [clk/iteration]

27 3.2: AD converter Resolution 12bit Sampling Rates 32MHz DA converter Resolution 14bit Sampling Rates 40MHz FPGA Altera Stratix EP1S25 600,000 Gates CPU Hitachi SH4 200MHz Operating System NetBSD Interface TCP/IP Ethrenet 100 BaseT N-LMS N-LMS 2.6, LMS : NLMS LE [%] DSP Block [%] [MHz] [clk/iteration] % 21.3% N-LMS FPGA RLS 3.4 [5] 23

28 3.4: LMS NLMS() NLMS() RLS LE [%] DSP Block( [%] [MHz] [clk/iterarion] [µs/iteration] LMS,NLMS,RLS LMS, N-LMS µ, µ 0 RLS λ LMS [µs] [6] 24

29 0 Mean Square Error[dB] Mean Square Error[dB] Time[µs] 3.1: 5[µs] Time[µs] 3.2: 25

30 3.2.2 M(Misadjustment) [6] 3.3 (3.1) W opt W opt = R xx r xr (3.1) R xr, r xr MSE MMSE (Excess MSE) ExcessMSE stedystate ExcessMSE stedy-state MSE MSE MMSE RLS λ = % LMS, N-LMS [7] M = average(mse MMSE) MMSE (3.2) Excess MSE 3.3: MMSE MSE 26

31 50 misadjustment [%] SNR [db] step size µ 3.4: (LMS) 50 misadjustment [%] SNR [db] : 5 : 10 : 20 divide bit-shift step size µ 3.5: (NLMS) 27

32 misadjustment[ % ] SNR [db] SIR [db] forgetting factor λ 3.6: (RLS) D/U=0[dB]SNR=5,10,20[dB] SINR 3.7, 3.8 SINR SNR LMS, N-LMS RLS 3.5 RLS SNR LMS SNR RLS [5] RLS 3.5: SNR LMS NLMS RLS 5 [db] [dB] [dB] [µs] 28

33 Mean Square Error [db] Output SINR [db] SNR=5dB LMS NLMS RLS SNR=10dB -25 SNR=20dB Time[ µ s] : SNR MSE SNR=10dB SNR=20dB 10 5 SNR=5dB LMS NLMS RLS Time[ s] 3.8: SNR SINR 29

34 4 MMSE LMS, N-LMS, RLS LMS, N-LMS FPGA N-LMS 1. LMS N-LMS LMS, N-LMS RLS LMS, N-LMS RLS 30

35 .. 31

36 [1] 1999 [2] N. Kikuma and K.Takao Effect of Initial Values od Adaptive Arrays, IEEE, Trans. Aerosp. Electron. Syst., vol. AES-22, no. 6, pp , Nov [3] M. Tarrab and A. Feuer, Convergence and Performance Analysis of the Normalized LMS Algorithm with Uncorrelated Gaussian Data, IEEE Trans. Signal Processing, Vol. 41, pp , [4] L. Godara Applications of Antenna Arrays to Mobile Communications, Part 2: Beam-Forming and Direction-of-Arraival Considerations, Proc. IEEE, vol. 85, no. 8, pp , Aug [5], RLS MMSE FPGA, IEICE Trans. Commun., Vol. J88-B, NO. 9, pp , Sep [6] W. A. Gardner and W. A. Brown, A new algorithm for adaptive arrays, IEEE Trans. Acoust. Speech, Signal Processing, Vol. ASSP-35, pp , [7] G. Manolakis, K. Ingle and M. Kogon, Statistical and Adaptive SIGNAL PROCESS- ING, Mc Graw Hill, [8] Dimitris G.Manolakis, Vinay K.Ingle, Stephen M.Kogon, Statistical and Adaptive SIGNAL PROCESSING, McGraw-Hill Higher Education pp [9] J. Winter, Smart Antennas for Wireless Systems, IEEE Personal Commun., vol. 5, no. 1, pp , Feb [10] L. Godara Applications of Antenna Arrays to Mobile Communications, Part 2: Beam-Forming and Direction-of-Arraival Considerations, Proc. IEEE, vol. 85, no. 8, pp , Aug

37 [11] C.Ward, P.Hargrave, J.G.McWhirter, A novel algorithm and architecture for adaptive digital beamforming, IEEE Trans. Antennas & Propag.,vol.AP-34, no.3, pp , 1986 [12] M.S.Kim, K.Ichige and H.Arai, Design of Jacobi EVD Processor Based on CORDIC for DOA Estimation with MUSIC Algorithm, IEICE Trans. Commun., vol.e85 B, no.12, pp , Dec, [13] M.Kim, A Study of Implementation of Digital Signal Processing for Adaptive Array Antenna, [14] [15] 15 2 [16] 16 2 [17] RLS FPGA [18] RLS FPGA

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

2005 1

2005 1 2005 1 1 1 2 2 2.1....................................... 2 2.2................................... 5 2.3 VSWR................................. 6 2.4 VSWR 2............................ 7 2.5.......................................

More information

1 -- 9 -- 3 3--1 LMS NLMS 2009 2 LMS Least Mean Square LMS Normalized LMS NLMS 3--1--1 3 1 AD 3 1 h(n) y(n) d(n) FIR w(n) n = 0, 1,, N 1 N N = 2 3--1-

1 -- 9 -- 3 3--1 LMS NLMS 2009 2 LMS Least Mean Square LMS Normalized LMS NLMS 3--1--1 3 1 AD 3 1 h(n) y(n) d(n) FIR w(n) n = 0, 1,, N 1 N N = 2 3--1- 1 -- 9 3 2009 2 LMS NLMS RLS FIR IIR 3-1 3-2 3-3 3-4 c 2011 1/(13) 1 -- 9 -- 3 3--1 LMS NLMS 2009 2 LMS Least Mean Square LMS Normalized LMS NLMS 3--1--1 3 1 AD 3 1 h(n) y(n) d(n) FIR w(n) n = 0, 1,, N

More information

GD152

GD152 15 1 31 01GD152 MUSIC T T CdmaOne 2 i 1 1 2 6 2.1... 6 2.1.1... 6 2.1.2... 8 2.2 Beamformer... 9 2.3 MUSIC... 10 3 T 15 3.1... 15 3.2... 18 4 20 4.1... 20 4.2... 21 5 25 5.1... 25 5.2... 29 5.2.1 1...

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB (DLL) UWB DLL 1. UWB FCC (Federal Communications

More information

untitled

untitled 16 2 27 0044095 1 1 1 3 FPGA 2 IF 3 IF BER BER i 1 1 2 7 2.1...................... 7 2.1.1 A/D,D/A............................ 9 2.1.2 CPU............................... 10 2.2............................

More information

IPSJ SIG Technical Report 1, Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1

IPSJ SIG Technical Report 1, Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1 1, 2 1 1 1 Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1 Nobutaka ONO 1 and Shigeki SAGAYAMA 1 This paper deals with instrument separation

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

it-ken_open.key

it-ken_open.key 深層学習技術の進展 ImageNet Classification 画像認識 音声認識 自然言語処理 機械翻訳 深層学習技術は これらの分野において 特に圧倒的な強みを見せている Figure (Left) Eight ILSVRC-2010 test Deep images and the cited4: from: ``ImageNet Classification with Networks et

More information

,, 2. Matlab Simulink 2018 PC Matlab Scilab 2

,, 2. Matlab Simulink 2018 PC Matlab Scilab 2 (2018 ) ( -1) TA Email : ohki@i.kyoto-u.ac.jp, ske.ta@bode.amp.i.kyoto-u.ac.jp : 411 : 10 308 1 1 2 2 2.1............................................ 2 2.2..................................................

More information

2007-Kanai-paper.dvi

2007-Kanai-paper.dvi 19 Estimation of Sound Source Zone using The Arrival Time Interval 1080351 2008 3 7 S/N 2 2 2 i Abstract Estimation of Sound Source Zone using The Arrival Time Interval Koichiro Kanai The microphone array

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

pp d 2 * Hz Hz 3 10 db Wind-induced noise, Noise reduction, Microphone array, Beamforming 1

pp d 2 * Hz Hz 3 10 db Wind-induced noise, Noise reduction, Microphone array, Beamforming 1 72 12 2016 pp. 739 748 739 43.60.+d 2 * 1 2 2 3 2 125 Hz 0.3 0.8 2 125 Hz 3 10 db Wind-induced noise, Noise reduction, Microphone array, Beamforming 1. 1.1 PSS [1] [2 4] 2 Wind-induced noise reduction

More information

重力方向に基づくコントローラの向き決定方法

重力方向に基づくコントローラの向き決定方法 ( ) 2/Sep 09 1 ( ) ( ) 3 2 X w, Y w, Z w +X w = +Y w = +Z w = 1 X c, Y c, Z c X c, Y c, Z c X w, Y w, Z w Y c Z c X c 1: X c, Y c, Z c Kentaro Yamaguchi@bandainamcogames.co.jp 1 M M v 0, v 1, v 2 v 0 v

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> 通信方式第 2 版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/072662 このサンプルページの内容は, 第 2 版発行当時のものです. i 2 2 2 2012 5 ii,.,,,,,,.,.,,,,,.,,.,,..,,,,.,,.,.,,.,,.. 1990 5 iii 1 1

More information

5b_08.dvi

5b_08.dvi , Circularly Polarized Patch Antennas Combining Different Shaped Linealy Polarized Elements Takanori NORO,, Yasuhiro KAZAMA, Masaharu TAKAHASHI, and Koichi ITO 1. GPS LAN 10% [1] Graduate School of Science

More information

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 657 8531 1 1 E-mail: {soda,matsubara}@ws.cs.kobe-u.ac.jp, {masa-n,shinsuke,shin,yosimoto}@cs.kobe-u.ac.jp,

More information

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3)

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3) 72 12 2016 pp. 777 782 777 * 43.60.Pt; 43.38.Md; 43.60.Sx 1. 1 2 [1 8] Flexible acoustic interface based on 3D sound reproduction. Yosuke Tatekura (Shizuoka University, Hamamatsu, 432 8561) 2. 2.1 3 M

More information

Real AdaBoost HOG 2009 3 A Graduation Thesis of College of Engineering, Chubu University Efficient Reducing Method of HOG Features for Human Detection based on Real AdaBoost Chika Matsushima ITS Graphics

More information

4 1 7 Ver.1/ MIMO MIMO Multiple Input Multiple Output MIMO = = MIMO LAN IEEE802.11n MIMO Alamouti STBC Space Time Block Code

4 1 7 Ver.1/ MIMO MIMO Multiple Input Multiple Output MIMO = = MIMO LAN IEEE802.11n MIMO Alamouti STBC Space Time Block Code 4 -- 1 7 MIMO 2009 4 MIMO Multiple Input Multiple Output MIMO = = MIMO LAN IEEE802.11n MIMO Alamouti STBC Space Time Block Code 1 7-1 MIMO 7-2 MIMO 7-3 MIMO MIMO 7-4 MIMO 8 8-5 c 2010 1/(18) 4 -- 1 --

More information

211 kotaro@math.titech.ac.jp 1 R *1 n n R n *2 R n = {(x 1,..., x n ) x 1,..., x n R}. R R 2 R 3 R n R n R n D D R n *3 ) (x 1,..., x n ) f(x 1,..., x n ) f D *4 n 2 n = 1 ( ) 1 f D R n f : D R 1.1. (x,

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

28

28 y i = Z i δ i +ε i ε i δ X y i = X Z i δ i + X ε i [ ] 1 δ ˆ i = Z i X( X X) 1 X Z i [ ] 1 σ ˆ 2 Z i X( X X) 1 X Z i Z i X( X X) 1 X y i σ ˆ 2 ˆ σ 2 = [ ] y i Z ˆ [ i δ i ] 1 y N p i Z i δ ˆ i i RSTAT

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

h(n) x(n) s(n) S (ω) = H(ω)X(ω) (5 1) H(ω) H(ω) = F[h(n)] (5 2) F X(ω) x(n) X(ω) = F[x(n)] (5 3) S (ω) s(n) S (ω) = F[s(n)] (5

h(n) x(n) s(n) S (ω) = H(ω)X(ω) (5 1) H(ω) H(ω) = F[h(n)] (5 2) F X(ω) x(n) X(ω) = F[x(n)] (5 3) S (ω) s(n) S (ω) = F[s(n)] (5 1 -- 5 5 2011 2 1940 N. Wiener FFT 5-1 5-2 Norbert Wiener 1894 1912 MIT c 2011 1/(12) 1 -- 5 -- 5 5--1 2008 3 h(n) x(n) s(n) S (ω) = H(ω)X(ω) (5 1) H(ω) H(ω) = F[h(n)] (5 2) F X(ω) x(n) X(ω) = F[x(n)]

More information

2 DS SS (SS+DS) Fig. 2 Separation algorithm for motorcycle sound by combining DS and SS (SS+DS). 3. [3] DS SS 2 SS+DS 1 1 B SS SS 4. NMF 4. 1 (NMF) Y

2 DS SS (SS+DS) Fig. 2 Separation algorithm for motorcycle sound by combining DS and SS (SS+DS). 3. [3] DS SS 2 SS+DS 1 1 B SS SS 4. NMF 4. 1 (NMF) Y a) Separation of Motorcycle Sound by Near Field Microphone Array and Nonnegative Matrix Factorization Chisaki YOSHINAGA, Nonmember, Yosuke TATEKURA a), Member, Kazuaki HAMADA, and Tetsuya KIMURA, Nonmembers

More information

ohgane

ohgane Signal Detection Based on Belief Propagation in a Massive MIMO System Takeo Ohgane Hokkaido University, Japan 28 October 2013 Background (1) 2 Massive MIMO An order of 100 antenna elements channel capacity

More information

untitled

untitled + From Tradeoffs of Receive and Transmit Equalization Architectures, ICC006,Bryan Casper, Intel Labs Transmitter Receiver 0 magnitude (db) 0 0 30 40 50 60 0 4 frequency (GHz). Receiver Transmitter FFE

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k

64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () m/s : : a) b) kg/m kg/m k 63 3 Section 3.1 g 3.1 3.1: : 64 3 g=9.85 m/s 2 g=9.791 m/s 2 36, km ( ) 1 () 2 () 3 9.8 m/s 2 3.2 3.2: : a) b) 5 15 4 1 1. 1 3 14. 1 3 kg/m 3 2 3.3 1 3 5.8 1 3 kg/m 3 3 2.65 1 3 kg/m 3 4 6 m 3.1. 65 5

More information

= hυ = h c λ υ λ (ev) = 1240 λ W=NE = Nhc λ W= N 2 10-16 λ / / Φe = dqe dt J/s Φ = km Φe(λ)v(λ)dλ THBV3_0101JA Qe = Φedt (W s) Q = Φdt lm s Ee = dφe ds E = dφ ds Φ Φ THBV3_0102JA Me = dφe ds M = dφ ds

More information

10_08.dvi

10_08.dvi 476 67 10 2011 pp. 476 481 * 43.72.+q 1. MOS Mean Opinion Score ITU-T P.835 [1] [2] [3] Subjective and objective quality evaluation of noisereduced speech. Takeshi Yamada, Shoji Makino and Nobuhiko Kitawaki

More information

main.dvi

main.dvi FDTD S A Study on FDTD Analysis based on S-Parameter 18 2 7 04GD168 FDTD FDTD S S FDTD S S S S FDTD FDTD i 1 1 1.1 FDTD.................................... 1 1.2 FDTD..................... 3 2 S 5 2.1 FDTD

More information

(5) 75 (a) (b) ( 1 ) v ( 1 ) E E 1 v (a) ( 1 ) x E E (b) (a) (b)

(5) 75 (a) (b) ( 1 ) v ( 1 ) E E 1 v (a) ( 1 ) x E E (b) (a) (b) (5) 74 Re, bondar laer (Prandtl) Re z ω z = x (5) 75 (a) (b) ( 1 ) v ( 1 ) E E 1 v (a) ( 1 ) x E E (b) (a) (b) (5) 76 l V x ) 1/ 1 ( 1 1 1 δ δ = x Re x p V x t V l l (1-1) 1/ 1 δ δ δ δ = x Re p V x t V

More information

untitled

untitled ( ) (mm) (GHz)=300( ) 30 300GHz=1 10mm ( 2GHz2Mbps) Gbps= Mbps ( m),? S G=P/Pi30dB=1000 Gm=4πS/λ 2, S= 80λ 2 Gm=30dB η=g/gm, S= 80λ 2,G=27dB η=50% (GHz) 80 70 60 50 40 30 20 10 16 19 22 25 28 31 34 37

More information

2013 M

2013 M 2013 M0110453 2013 : M0110453 20 1 1 1.1............................ 1 1.2.............................. 4 2 5 2.1................................. 6 2.2................................. 8 2.3.................................

More information

鉄鋼協会プレゼン

鉄鋼協会プレゼン NN :~:, 8 Nov., Adaptive H Control for Linear Slider with Friction Compensation positioning mechanism moving table stand manipulator Point to Point Control [G] Continuous Path Control ground Fig. Positoining

More information

2011 8 26 3 I 5 1 7 1.1 Markov................................ 7 2 Gau 13 2.1.................................. 13 2.2............................... 18 2.3............................ 23 3 Gau (Le vy

More information

impulse_response.dvi

impulse_response.dvi 5 Time Time Level Level Frequency Frequency Fig. 5.1: [1] 2004. [2] P. A. Nelson, S. J. Elliott, Active Noise Control, Academic Press, 1992. [3] M. R. Schroeder, Integrated-impulse method measuring sound

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

problem. In this paper, we pay attention to the directivity control, implement DMAC which is a typical MAC protocol, and evaluate it under the

problem. In this paper, we pay attention to the directivity control, implement DMAC which is a typical MAC protocol, and evaluate it under the 1 2 3 4 problem. In this paper, we pay attention to the directivity control, implement DMC which is a typical MC protocol, and evaluate it under the moving environment for the beam forming. ased on this

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

V(x) m e V 0 cos x π x π V(x) = x < π, x > π V 0 (i) x = 0 (V(x) V 0 (1 x 2 /2)) n n d 2 f dξ 2ξ d f 2 dξ + 2n f = 0 H n (ξ) (ii) H

V(x) m e V 0 cos x π x π V(x) = x < π, x > π V 0 (i) x = 0 (V(x) V 0 (1 x 2 /2)) n n d 2 f dξ 2ξ d f 2 dξ + 2n f = 0 H n (ξ) (ii) H 199 1 1 199 1 1. Vx) m e V cos x π x π Vx) = x < π, x > π V i) x = Vx) V 1 x /)) n n d f dξ ξ d f dξ + n f = H n ξ) ii) H n ξ) = 1) n expξ ) dn dξ n exp ξ )) H n ξ)h m ξ) exp ξ )dξ = π n n!δ n,m x = Vx)

More information

鉄筋単体の座屈モデル(HP用).doc

鉄筋単体の座屈モデル(HP用).doc RC uckling elastic uckling of initiall ent memer full-plastic ultimate elasto-plastic uckling model cover concrete initial imperfection 1 Fixed-fixed Hinged-hinged x x M M 1 3 1 a π = 1 cos x πx = a sin

More information

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [ RI-002 Encoding-oriented video generation algorithm based on control with high temporal resolution Yukihiro BANDOH, Seishi TAKAMURA, Atsushi SHIMIZU 1 1T / CMOS [1] 4K (4096 2160 /) 900 Hz 50Hz,60Hz 240Hz

More information

FIT2013( 第 12 回情報科学技術フォーラム ) C-017 SIMD Implementation and evaluation of a morphological pattern spectrum using an highly-parallel SIMD matrix process

FIT2013( 第 12 回情報科学技術フォーラム ) C-017 SIMD Implementation and evaluation of a morphological pattern spectrum using an highly-parallel SIMD matrix process C-017 SIMD Implementation an evaluation of a morphological pattern pectrum uing an highly-parallel SIMD matrix proceor Yauhi Tukaa Tomohiro Takea Tohiya Hona Takehi Kumaki Takehi Ogura Takehi Fujino 1.

More information

Microsoft PowerPoint - 発表スライド新潟大学小沢

Microsoft PowerPoint - 発表スライド新潟大学小沢 海洋レーダにおける Khatri-Rao 積拡張アレー処理を用いた角度 ドップラ周波数分解能改善に関する検討 Angular/Doppler-Frequency Resolution Improvement Using the Khatri-Rao Product Array Processing in Ocean Surface Current Radar 小沢直輝 1, 山田寛喜 1, 山口芳雄

More information

IPSJ SIG Technical Report Vol.2015-MUS-107 No /5/23 HARK-Binaural Raspberry Pi 2 1,a) ( ) HARK 2 HARK-Binaural A/D Raspberry Pi 2 1.

IPSJ SIG Technical Report Vol.2015-MUS-107 No /5/23 HARK-Binaural Raspberry Pi 2 1,a) ( ) HARK 2 HARK-Binaural A/D Raspberry Pi 2 1. HARK-Binaural Raspberry Pi 2 1,a) 1 1 1 2 3 () HARK 2 HARK-Binaural A/D Raspberry Pi 2 1. [1,2] [2 5] () HARK (Honda Research Institute Japan audition for robots with Kyoto University) *1 GUI ( 1) Python

More information

2011de.dvi

2011de.dvi 211 ( 4 2 1. 3 1.1............................... 3 1.2 1- -......................... 13 1.3 2-1 -................... 19 1.4 3- -......................... 29 2. 37 2.1................................ 37

More information

r d 2r d l d (a) (b) (c) 1: I(x,t) I(x+ x,t) I(0,t) I(l,t) V in V(x,t) V(x+ x,t) V(0,t) l V(l,t) 2: 0 x x+ x 3: V in 3 V in x V (x, t) I(x, t

r d 2r d l d (a) (b) (c) 1: I(x,t) I(x+ x,t) I(0,t) I(l,t) V in V(x,t) V(x+ x,t) V(0,t) l V(l,t) 2: 0 x x+ x 3: V in 3 V in x V (x, t) I(x, t 1 1 2 2 2r d 2r d l d (a) (b) (c) 1: I(x,t) I(x+ x,t) I(0,t) I(l,t) V in V(x,t) V(x+ x,t) V(0,t) l V(l,t) 2: 0 x x+ x 3: V in 3 V in x V (x, t) I(x, t) V (x, t) I(x, t) V in x t 3 4 1 L R 2 C G L 0 R 0

More information

(a) (b) (c) Canny (d) 1 ( x α, y α ) 3 (x α, y α ) (a) A 2 + B 2 + C 2 + D 2 + E 2 + F 2 = 1 (3) u ξ α u (A, B, C, D, E, F ) (4) ξ α (x 2 α, 2x α y α,

(a) (b) (c) Canny (d) 1 ( x α, y α ) 3 (x α, y α ) (a) A 2 + B 2 + C 2 + D 2 + E 2 + F 2 = 1 (3) u ξ α u (A, B, C, D, E, F ) (4) ξ α (x 2 α, 2x α y α, [II] Optimization Computation for 3-D Understanding of Images [II]: Ellipse Fitting 1. (1) 2. (2) (edge detection) (edge) (zero-crossing) Canny (Canny operator) (3) 1(a) [I] [II] [III] [IV ] E-mail sugaya@iim.ics.tut.ac.jp

More information

gr09.dvi

gr09.dvi .1, θ, ϕ d = A, t dt + B, t dtd + C, t d + D, t dθ +in θdϕ.1.1 t { = f1,t t = f,t { D, t = B, t =.1. t A, tdt e φ,t dt, C, td e λ,t d.1.3,t, t d = e φ,t dt + e λ,t d + dθ +in θdϕ.1.4 { = f1,t t = f,t {

More information

untitled

untitled 2 : n =1, 2,, 10000 0.5125 0.51 0.5075 0.505 0.5025 0.5 0.4975 0.495 0 2000 4000 6000 8000 10000 2 weak law of large numbers 1. X 1,X 2,,X n 2. µ = E(X i ),i=1, 2,,n 3. σi 2 = V (X i ) σ 2,i=1, 2,,n ɛ>0

More information

(1970) 17) V. Kucera: A Contribution to Matrix Ouadratic Equations, IEEE Trans. on Automatic Control, AC- 17-3, 344/347 (1972) 18) V. Kucera: On Nonnegative Definite Solutions to Matrix Ouadratic Equations,

More information

ばらつき抑制のための確率最適制御

ばらつき抑制のための確率最適制御 ( ) http://wwwhayanuemnagoya-uacjp/ fujimoto/ 2011 3 9 11 ( ) 2011/03/09-11 1 / 46 Outline 1 2 3 4 5 ( ) 2011/03/09-11 2 / 46 Outline 1 2 3 4 5 ( ) 2011/03/09-11 3 / 46 (1/2) r + Controller - u Plant y

More information

スライド タイトルなし

スライド タイトルなし (1) - E-Mail: katto@waseda.jp Y U V R G B (1/30 ) RGB / YUV = B G R V U Y 0.31 0.52 0.21 0.32 0.28 0.60 0.11 0.59 0.30 RGB YUV CCIR 601 4:4:4 4:2:2 4:2:0 Y Y Y U V U V U V YUVUV UV 4:2:0 4:2:2 (RGB8 )

More information

Γ Ec Γ V BIAS THBV3_0401JA THBV3_0402JAa THBV3_0402JAb 1000 800 600 400 50 % 25 % 200 100 80 60 40 20 10 8 6 4 10 % 2.5 % 0.5 % 0.25 % 2 1.0 0.8 0.6 0.4 0.2 0.1 200 300 400 500 600 700 800 1000 1200 14001600

More information

24 201170068 1 4 2 6 2.1....................... 6 2.1.1................... 6 2.1.2................... 7 2.1.3................... 8 2.2..................... 8 2.3................. 9 2.3.1........... 12

More information

report-MSPC.dvi

report-MSPC.dvi Multivariate Statistical Process Control 4 1 5 6 Copyright cfl4-5 by Manabu Kano. All rights reserved. 1 1 3 3.1 : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : :

More information

通信容量制約を考慮したフィードバック制御 - 電子情報通信学会 情報理論研究会(IT) 若手研究者のための講演会

通信容量制約を考慮したフィードバック制御 -  電子情報通信学会 情報理論研究会(IT)  若手研究者のための講演会 IT 1 2 1 2 27 11 24 15:20 16:05 ( ) 27 11 24 1 / 49 1 1940 Witsenhausen 2 3 ( ) 27 11 24 2 / 49 1940 2 gun director Warren Weaver, NDRC (National Defence Research Committee) Final report D-2 project #2,

More information

Vol. 44 No. SIG 9(CVIM 7) ) 2) 1) 1 2) 3 7) 1) 2) 3 3) 4) 5) (a) (d) (g) (b) (e) (h) No Convergence? End (f) (c) Yes * ** * ** 1

Vol. 44 No. SIG 9(CVIM 7) ) 2) 1) 1 2) 3 7) 1) 2) 3 3) 4) 5) (a) (d) (g) (b) (e) (h) No Convergence? End (f) (c) Yes * ** * ** 1 Vol. 44 No. SIG 9(CVIM 7) July 2003, Robby T. Tan, 1 Estimating Illumination Position, Color and Surface Reflectance Properties from a Single Image Kenji Hara,, Robby T. Tan, Ko Nishino, Atsushi Nakazawa,

More information

Rによる計量分析:データ解析と可視化 - 第3回 Rの基礎とデータ操作・管理

Rによる計量分析:データ解析と可視化 - 第3回  Rの基礎とデータ操作・管理 R 3 R 2017 Email: gito@eco.u-toyama.ac.jp October 23, 2017 (Toyama/NIHU) R ( 3 ) October 23, 2017 1 / 34 Agenda 1 2 3 4 R 5 RStudio (Toyama/NIHU) R ( 3 ) October 23, 2017 2 / 34 10/30 (Mon.) 12/11 (Mon.)

More information

agora04.dvi

agora04.dvi Workbook E-mail: kawahira@math.nagoya-u.ac.jp 2004 8 9, 10, 11 1 2 1 2 a n+1 = pa n + q x = px + q a n better 2 a n+1 = aan+b ca n+d 1 (a, b, c, d) =(p, q, 0, 1) 1 = 0 3 2 2 2 f(z) =z 2 + c a n+1 = a 2

More information

CVaR

CVaR CVaR 20 4 24 3 24 1 31 ,.,.,. Markowitz,., (Value-at-Risk, VaR) (Conditional Value-at-Risk, CVaR). VaR, CVaR VaR. CVaR, CVaR. CVaR,,.,.,,,.,,. 1 5 2 VaR CVaR 6 2.1................................................

More information

untitled

untitled 18 1 2,000,000 2,000,000 2007 2 2 2008 3 31 (1) 6 JCOSSAR 2007pp.57-642007.6. LCC (1) (2) 2 10mm 1020 14 12 10 8 6 4 40,50,60 2 0 1998 27.5 1995 1960 40 1) 2) 3) LCC LCC LCC 1 1) Vol.42No.5pp.29-322004.5.

More information

18 2 20 W/C W/C W/C 4-4-1 0.05 1.0 1000 1. 1 1.1 1 1.2 3 2. 4 2.1 4 (1) 4 (2) 4 2.2 5 (1) 5 (2) 5 2.3 7 3. 8 3.1 8 3.2 ( ) 11 3.3 11 (1) 12 (2) 12 4. 14 4.1 14 4.2 14 (1) 15 (2) 16 (3) 17 4.3 17 5. 19

More information

keisoku01.dvi

keisoku01.dvi 2.,, Mon, 2006, 401, SAGA, JAPAN Dept. of Mechanical Engineering, Saga Univ., JAPAN 4 Mon, 2006, 401, SAGA, JAPAN Dept. of Mechanical Engineering, Saga Univ., JAPAN 5 Mon, 2006, 401, SAGA, JAPAN Dept.

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> 単純適応制御 SAC サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/091961 このサンプルページの内容は, 初版 1 刷発行当時のものです. 1 2 3 4 5 9 10 12 14 15 A B F 6 8 11 13 E 7 C D URL http://www.morikita.co.jp/support

More information

空気の屈折率変調を光学的に検出する超指向性マイクロホン

空気の屈折率変調を光学的に検出する超指向性マイクロホン 23 2 1M36268 2 2 4 5 6 7 8 13 15 2 21 2 23 2 2 3 32 34 38 38 54 57 62 63 1-1 ( 1) ( 2) 1-1 a ( sinθ ) 2J D ( θ ) = 1 (1-1) kaka sinθ ( 3) 1-2 1 Back face hole Amplifier Diaphragm Equiphase wave surface

More information

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100 positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) 0.5 1.5MeV : thermalization 10 100 m psec 100psec nsec E total = 2mc 2 + E e + + E e Ee+ Ee-c mc

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

ohpr.dvi

ohpr.dvi 2003/12/04 TASK PAF A. Fukuyama et al., Comp. Phys. Rep. 4(1986) 137 A. Fukuyama et al., Nucl. Fusion 26(1986) 151 TASK/WM MHD ψ θ ϕ ψ θ e 1 = ψ, e 2 = θ, e 3 = ϕ ϕ E = E 1 e 1 + E 2 e 2 + E 3 e 3 J :

More information

11) 13) 11),12) 13) Y c Z c Image plane Y m iy O m Z m Marker coordinate system T, d X m f O c X c Camera coordinate system 1 Coordinates and problem

11) 13) 11),12) 13) Y c Z c Image plane Y m iy O m Z m Marker coordinate system T, d X m f O c X c Camera coordinate system 1 Coordinates and problem 1 1 1 Posture Esimation by Using 2-D Fourier Transform Yuya Ono, 1 Yoshio Iwai 1 and Hiroshi Ishiguro 1 Recently, research fields of augmented reality and robot navigation are actively investigated. Estimating

More information

平成12年度

平成12年度 1 1-1 (1) 150[ml] 500[ml/] Cerebral Ventricle Brain 1-1 2 ( ) 1-1 1-2 0.20.5[mm] 13 14[mm] 1-2 3 ( ) (2) 4 2-1 (cerebral ventricle) (peritoneum) R O p O Cerebral Ventricle Valve Brain R o R i P i Peritoneum

More information

振動と波動

振動と波動 Report JS0.5 J Simplicity February 4, 2012 1 J Simplicity HOME http://www.jsimplicity.com/ Preface 2 Report 2 Contents I 5 1 6 1.1..................................... 6 1.2 1 1:................ 7 1.3

More information

Part () () Γ Part ,

Part () () Γ Part , Contents a 6 6 6 6 6 6 6 7 7. 8.. 8.. 8.3. 8 Part. 9. 9.. 9.. 3. 3.. 3.. 3 4. 5 4.. 5 4.. 9 4.3. 3 Part. 6 5. () 6 5.. () 7 5.. 9 5.3. Γ 3 6. 3 6.. 3 6.. 3 6.3. 33 Part 3. 34 7. 34 7.. 34 7.. 34 8. 35

More information

2007/8 Vol. J90 D No. 8 Stauffer [7] 2 2 I 1 I 2 2 (I 1(x),I 2(x)) 2 [13] I 2 = CI 1 (C >0) (I 1,I 2) (I 1,I 2) Field Monitoring Server

2007/8 Vol. J90 D No. 8 Stauffer [7] 2 2 I 1 I 2 2 (I 1(x),I 2(x)) 2 [13] I 2 = CI 1 (C >0) (I 1,I 2) (I 1,I 2) Field Monitoring Server a) Change Detection Using Joint Intensity Histogram Yasuyo KITA a) 2 (0 255) (I 1 (x),i 2 (x)) I 2 = CI 1 (C>0) (I 1,I 2 ) (I 1,I 2 ) 2 1. [1] 2 [2] [3] [5] [6] [8] Intelligent Systems Research Institute,

More information

Doctor Thesis Template

Doctor Thesis Template A Study of Variable Beam-tilted Microstrip Array Antenna LAN(Local Area Network) LAN PC LAN LAN LAN 5.2GHz 20 25Mbps OFDM(Orthogonal Frequency Division Multiplexing) 2 2 90 2 60 30 45 60 1....2 1.1....

More information

Microsoft PowerPoint B_AP件歴史講演.pptx

Microsoft PowerPoint B_AP件歴史講演.pptx 移動通信における信号処理アンテナの進展 アダプティブアンテナから MIMO システムへ 小川恭孝 A P 研 アンテナの歴史 委員会特別講演 / 北海道大学 /2018.07.19 小川, 西村, 大鐘, 移動通信における信号処理アンテナの進展, 信学論 B, vol. J100-B, no. 9, pp. 658-672, Sept. 2017. 2 アダプティブアンテナの基本概念 q #1 x

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

Onsager SOLUTION OF THE EIGENWERT PROBLEM (O-29) V = e H A e H B λ max Z 2 Onsager (O-77) (O-82) (O-83) Kramers-Wannier 1 1 Ons

Onsager SOLUTION OF THE EIGENWERT PROBLEM (O-29) V = e H A e H B λ max Z 2 Onsager (O-77) (O-82) (O-83) Kramers-Wannier 1 1 Ons Onsager 2 9 207.2.7 3 SOLUTION OF THE EIGENWERT PROBLEM O-29 V = e H A e H B λ max Z 2 OnsagerO-77O-82 O-83 2 Kramers-Wannier Onsager * * * * * V self-adjoint V = V /2 V V /2 = V /2 V 2 V /2 = 2 sinh 2H

More information

Q & A Q A p

Q & A Q A p Q & A 2004.12 1 Q1. 12 2 A1. 11 3 p.1 1.1 2 Q2. A2. < > [ ] 10 5 15 (p.138) (p.150) (p.176) (p.167 ) 3 1. 4 1.6 1.6.1 (2) (p.6) Q3. 5 1 1:1.0 12 2 1:0.6 1:1.0 1:1.0 1:0.6 1:0.6 1:0.6 1:1.0 1:0.6 ( ) 1:1.0

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

CWContinuous Wave CW 1.1.2 XCT(Computed Tomography) MRI Magnetic Resonance Imaging)PET(Positron Emission Tomography) XCT 2

CWContinuous Wave CW 1.1.2 XCT(Computed Tomography) MRI Magnetic Resonance Imaging)PET(Positron Emission Tomography) XCT 2 1.1 1.1.1 RadarRadio Detection and Ranging 1960 1 10 1 CWContinuous Wave CW 1.1.2 XCT(Computed Tomography) MRI Magnetic Resonance Imaging)PET(Positron Emission Tomography) XCT 2 3 XCTMRI XCTMRI XCT /10

More information

main.dvi

main.dvi CDMA 1 CDMA ( ) CDMA CDMA CDMA 1 ( ) Hopfield [1] Hopfield 1 E-mail: okada@brain.riken.go.jp 1 1: 1 [] Hopfield Sourlas Hopfield [3] Sourlas 1? CDMA.1 DS/BPSK CDMA (Direct Sequence; DS) (Binary Phase-Shift-Keying;

More information

x x x 2, A 4 2 Ax.4 A A A A λ λ 4 λ 2 A λe λ λ2 5λ + 6 0,...λ 2, λ 2 3 E 0 E 0 p p Ap λp λ 2 p 4 2 p p 2 p { 4p 2 2p p + 2 p, p 2 λ {

x x x 2, A 4 2 Ax.4 A A A A λ λ 4 λ 2 A λe λ λ2 5λ + 6 0,...λ 2, λ 2 3 E 0 E 0 p p Ap λp λ 2 p 4 2 p p 2 p { 4p 2 2p p + 2 p, p 2 λ { K E N Z OU 2008 8. 4x 2x 2 2 2 x + x 2. x 2 2x 2, 2 2 d 2 x 2 2.2 2 3x 2... d 2 x 2 5 + 6x 0 2 2 d 2 x 2 + P t + P 2tx Qx x x, x 2 2 2 x 2 P 2 tx P tx 2 + Qx x, x 2. d x 4 2 x 2 x x 2.3 x x x 2, A 4 2

More information

IBM-Mode1 Q: A: cash money It is fine today 2

IBM-Mode1 Q: A: cash money It is fine today 2 8. IBM Model-1 @NICT mutiyama@nict.go.jp 1 IBM-Mode1 Q: A: cash money It is fine today 2 e f a P (f, a e) â : â = arg max a P (f, a e) â P (f, a e) 3 θ P (f e, θ) θ f d = { f, e } L(θ d) = log f,e d P

More information

Run-Based Trieから構成される 決定木の枝刈り法

Run-Based Trieから構成される  決定木の枝刈り法 Run-Based Trie 2 2 25 6 Run-Based Trie Simple Search Run-Based Trie Network A Network B Packet Router Packet Filtering Policy Rule Network A, K Network B Network C, D Action Permit Deny Permit Network

More information

Microsoft Word - 11問題表紙(選択).docx

Microsoft Word - 11問題表紙(選択).docx A B A.70g/cm 3 B.74g/cm 3 B C 70at% %A C B at% 80at% %B 350 C γ δ y=00 x-y ρ l S ρ C p k C p ρ C p T ρ l t l S S ξ S t = ( k T ) ξ ( ) S = ( k T) ( ) t y ξ S ξ / t S v T T / t = v T / y 00 x v S dy dx

More information

untitled

untitled 4 1 4.1................................................. 1 4.1.1........................................ 1-1 4 17 11 30 4.1 2001 49% 2,400 47% 6,000 2001 390 8% 2005 3000 1000 IT 1 ADSL(Asymmetric Digital

More information

02-量子力学の復習

02-量子力学の復習 4/17 No. 1 4/17 No. 2 4/17 No. 3 Particle of mass m moving in a potential V(r) V(r) m i ψ t = 2 2m 2 ψ(r,t)+v(r)ψ(r,t) ψ(r,t) Wave function ψ(r,t) = ϕ(r)e iωt steady state 2 2m 2 ϕ(r)+v(r)ϕ(r) = εϕ(r)

More information