Microsoft PowerPoint - 01_Vengineer.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - 01_Vengineer.ppt"

Transcription

1 Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信

2 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク コピーライト等の表示は 明記しておりません 2

3 目次 検証という仕事 Software Driven Verification SystemVerilog DPI-C を利用してみる 開発事例 3

4 検証という仕事

5 検証という仕事 ハードウェア ソフトウェア 検証検証 システム ツール 5

6 仕事の詳細は? ハードウェア モデル作成 ( モデリング ) ソフトウェア システム ツール シナリオ作成 ( テストプログラム ) プログラム ( C C++ Java HVL HDL ) スクリプト ( 簡易言語 汎用言語 : Perl/Ruby/Python ) 検証仕様書検証項目リスト作成 テストベンチ作成 データ / 期待値作成 ツール作成自動化 ( 期待値生成 シミュレーション 期待値チェック ) 6

7 3 つの要素 トップテストベンチ モデル テストプログラム DUT チェッカ / モニタ 7

8 言語 : 1990 年代 設計 検証 実装 アサーション モデリング テストフ ロク ラム HDL 前半 VHDL VHDL VHDL VHDL Verilog-HDL Verilog-HDL Verilog-HDL Verilog-HDL HVL 後半 VHDL e e e Verilog-HDL Vera Vera Vera 8

9 言語 : 2000 年代 設計 検証 実装 アサーション モデリング テストフ ロク ラム C SystemC PSL SystemC SystemC 前半 VHDL Verilog-HDL e OVA e OpenVera e OpenVera SV SystemC SystemC SystemC 後半 SystemVerilog SVA SystemVerilog SystemVerilog DPI-C 9

10 Software Driven Verification

11 Software Driven Verification DUT にソフトウェア ( テストプログラム ) を使って 検証する そこで テストプログラムを何で書いている? トップテストベンチ モデル テストプログラム DUT チェッカ / モニタ 11

12 BFM によるテストプログラムの実行 HDL / HVL が利用できる Logic Simulator のみで実行可能である HDL / HVL / SystemC / SystemVerilog テストプログラム BFM DUT モデル チェッカー 12

13 ISS によるテストプログラムの実行 実機と同じプログラムが利用できる ISS が重いと 全体のシミュレーション速度が低下する テストプログラム ISS Assembler / C / C++ モデル BFM DUT チェッカー 13

14 Co-Simulation によるテストプログラムの実行 C/C++ などのソフトウェアでの言語が利用できるテストプログラムを別の CPU で実行すれば シミュレーション速度の低下は少ない テストプログラム API Assembler / C / C++ モデル API BFM DUT チェッカー 14

15 テストプログラムの再利用 BFM ISS Co-Simulation テストフ ロク ラム BFM フ ロック検証 再利用 テストフ ロク ラム BFM チッフ 検証 再利用 テストフ ロク ラム BFM 結合検証 15

16 SystemVerilog DPI-C を利用してみる

17 SystemVerilog のいろいろな機能 インターフェース 機能カバレッジ 同期機能 ( セマフォ / メイルホ ックス ) 制約付きランダム生成 SystemVerilog アサーション (SVA) DPI-C クラス 記述量の削減 (.* によるホ ートハ イント ) 17

18 SystemVerilog DPI-C を利用すると 基本的には Co-Simulation によるテストプログラムの実行と同じ特別な仕組み ( 道具は必要なし ) C / C++ テストプログラム DPI-C SystemVerilog BFM BFM + DPI-C DUT モデル チェッカー 18

19 BFM と BFM+DPI-C の違い ( その 1) 各テストプログラムを使って シミュレーションを実行するとき BFM の場合 テストベンチのコンパイル / エラボレーションが必要 BFM+DPI-Cの場合テストプログラムはCコンパイラでコンパイルし シミュレーション時にオブジェクトファイルを -sv_libオプションで指定するだけ (HDL 側のコンパイル / エラボレーションは必要ない ) 19

20 BFM と BFM+DPI-C の違い ( その 2) テストプログラムのライブラリ化 BFM の場合 HDL コードでライブラリを構築し include ディレクティブでの取り込みになる BFM+DPI-C の場合 C/C++ でライブラリを構築し 既存ライブラリなどのリンク可能 20

21 DPI-C によるテストプログラム SystemVerilog 側 C プログラム側 TB コード DUT コード テストプログラム ユーザライブラリ コンパイル シミュレーション環境とテストプログラムの分離 コンパイル 既存ライブラリ エラボレーション リンク シミュレーション -sv_lib オプション 共有ライブラリ 21

22 開発事例

23 開発事例 : 画像処理部の検証 IRQ CPU 検証対象 Register I/F DMA Func - F Func - E Func - D Func - C Func - B Func - A DMA Image Data I/F Memory 23

24 SystemVerilog DPI-C を使った検証環境 IRQ テストプログラム ユーティリティ BFM + DPI-C 検証対象 Register I/F DMA Func - F Func - E Func - D Func - C Func - B Func - A DMA Image Data I/F Memory Model 24

25 プログラムと検証環境の関係 入力 C テストプログラム 出力 入力データは テストプログラムが読み込む SV+DPI-C BFM 期待値 出力データは テストプログラムが書き込む SV+DPI-C Model DUV SV+DPI-C Model 期待値ファイルは テストプログラムが読み込む 25

26 DPI-C によるテストプログラム ( その 1) Bus Functional Model module BFM(... ); DPI-C で C 言語とのインターフェースを定義する import DPI-C context task c_main(); export DPI-C task Bus_Load, Bus_Store, Bus_Wait; initial begin c_main(); // C 言語部 end task Bus_Store(.. ); endtask : Bus_Store task Bus_Wait(.. ); endtask : Bus_Wait test_prog.c int c_main(void) { // ここに // テストプログラムを // 書く task Bus_Load(.. ); endtask : Bus_Load endmodule : test_prog } return 0; 26

27 DPI-C によるテストプログラム ( その 2) SystemVerilog 側 C プログラム側 initial begin c_main(); end task Bus_Store(.. ); endtask : Bus_Store task Bus_Wait(.. ); endtask : Bus_Wait task Bus_Load(.. ); endtask : Bus_Load SV から C を Call C から SV を Call int c_main() { u_int32 addr, data; addr = 0x ; } data = 0x ; Bus_Store( addr, data ); Bus_Wait( 10 ); Bus_Load( addr, &data ); if( data!= 0x ) printf( Error n ); return 0; 27

28 シミュレータでの利用 テストプログラムユーティリティ C 社 HDL シミュレータ テストベンチ各種モデル検証対象 (HDL) M 社 HDL シミュレータ 使用した 2 社のシミュレータ間では テストプログラム / ユーティリティの変更無しで動作確認できた 28

29 アクセラレータでの利用 : Aldec 社 Riviera-PRO + HES Riviera-PRO C++ ソース ccomp -dpi *.c *.h SystemVerilog ソース VHDL/Verilog RTL alog *.sv -dpiheader *.h 同一の検証環境でデバッグ C++ オブジェクト Import Task Export Task SV オブジェクト RTL シミュレーション カーネルから直接ハードウェアにアクセス 全自動のコンパイラ ソフトウェアクロック変換 /FPGA 分割 FPGA-2 HES5LX660EX Board FPGA-4 FPGA-5 HES Elite FPGA-0 FPGA-1 FPGA-3 アルデック ジャパン株式会社様から掲載許可済 29

30 エミュレータでの利用 : EVE 社 Zebu-Server ZeBu-Server C テストベンチ PC DPI C ZeBu DPI C DPI C 信号モニタ 波形ビューア SVA ~ 100KHz HDL アクセラレーション ~ 30,000KHz ZEMI-3 メモリエディタ フルアクセス TB C++ DUT In ZeBu 日本イヴ株式会社様から掲載許可済 ハードウェア デバッガ 30

31 テストプログラムの再利用 テストフ ロク ラム BFM Simulator 再利用 テストフ ロク ラム BFM Accelerator 再利用 テストフ ロク ラム BFM Emulator 31

32 32

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

PowerPoint Presentation

PowerPoint Presentation Gen3 対応! PCI-Express 採用 SoC の検証も ZeBu におまかせください! Big emulators come in small packages ZeBu とは? 汎用 FPGA をベースにすることで優れた投資対効果と革新性を実現した論理エミュレータです Xilinx Virtex Roadmap V8000 LX200 LX330 130nm ZeBu-ZV ZeBu-XL

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

PowerPoint Presentation

PowerPoint Presentation 沖ネットワークエルエスアイの デザインソリューション 2005 年 10 月 25 日 www.okinetlsi.com 1 1 ONW 概要概要 社名 : 株式会社沖ネットワークエルエスアイ ( 略称 ONW) 所在地 : 本社 / 東京開発センタ : 東京都品川区西五反田 2-15-7 シ フ ラルタ生命五反田ヒ ル九州開発センタ : 福岡県福岡市中央区天神 4-8-25 ニッコーヒ ル 設立

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

Report Template

Report Template 日本語マニュアル 第 21 章 シミュレーション ユーザーガイド ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

COBOL Standard Edition V2 開発環境のご紹介 2017 年 10 本電気株式会社 次 1.COBOL 開発環境とは 2. 編集機能 3. ビルド機能 4. プロジェクト管理 5. 資産管理 6. インポート機能 7. 連携機能 8. 動作環境 1. COBOL 開発環境とは 1. COBOL 開発環境とは COBOL 開発環境 COBOLアプリケーションの開発をサポートするWindowsの総合開発環境

More information

COBOL Standard Edition COBOL SQL アクセスのご紹介 2017 年 3 本電気株式会社 次 COBOL SQLアクセスとは P.4 COBOL85 SQLEXTENSIONからの移 P.10 製品情報 P.13 COBOL SQL アクセスとは 製品概要 COBOL ソース中の埋め込み SQL によるデータベースアクセスが可能に 業界標準 ODBC(Open DataBase

More information

数はファイル内のどの関数からでも参照できるので便利ではありますが 変数の衝突が起こったり ファイル内のどこで値が書き換えられたかわかりづらくなったりなどの欠点があります 複数の関数で変数を共有する時は出来るだけ引数を使うようにし グローバル変数は プログラムの全体の状態を表すものなど最低限のものに留

数はファイル内のどの関数からでも参照できるので便利ではありますが 変数の衝突が起こったり ファイル内のどこで値が書き換えられたかわかりづらくなったりなどの欠点があります 複数の関数で変数を共有する時は出来るだけ引数を使うようにし グローバル変数は プログラムの全体の状態を表すものなど最低限のものに留 第 10 章分割コンパイル 1 ソースを分割する今まで出てきたソースは全て一つのソースファイルにソースを記述してきました しかし ソースが長くなっていくと全てを一つのファイルに書くと読みづらくなります そこで ソースを複数のファイルに分割してコンパイルを行う分割コンパイルをします 今章は章名にもなっている 分割コンパイルの方法についてやります 分割コンパイルする時は大抵 関連性のある機能ごとにファイルにまとめます

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

memo

memo 数理情報工学演習第一 C プログラミング演習 ( 第 5 回 ) 2015/05/11 DEPARTMENT OF MATHEMATICAL INFORMATICS 1 今日の内容 : プロトタイプ宣言 ヘッダーファイル, プログラムの分割 課題 : 疎行列 2 プロトタイプ宣言 3 C 言語では, 関数や変数は使用する前 ( ソースの上のほう ) に定義されている必要がある. double sub(int

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

Microsoft PowerPoint - 第5章補足-DB2組み込みSQL.ppt

Microsoft PowerPoint - 第5章補足-DB2組み込みSQL.ppt 開発編第 5 章補足 DB2 組み込み SQL 本書に含まれている情報は 正式な IBM のテストを受けていません また 明記にしろ 暗黙的にしろ なんらの保証もなしに配布されるものです この情報の使用またはこれらの技術の実施は いずれも 使用先の責任において行われるべきものであり それらを評価し 実際に使用する環境に統合する使用先の判断に依存しています それぞれの項目は

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

/

/ / CoMET とは? APPLICATIONS IP -PROCESSOR IP Application Programs (C/C++) Reactive Operating System Kernels PROPRIETARY OPERATING SYSTEM IP BUS, CACHE, TLB IP SOFTWARE TEST SETS Interactive Software Debugging

More information

ML 演習 第 4 回

ML 演習 第 4 回 ML 演習第 4 回 おおいわ Mar 6, 2003 今回の内容 補足 Ocaml のモジュールシステム structure signature functor Ocaml コンパイラの利用 2 識別子について 利用可能文字 先頭文字 : A~Z, a~z, _ ( 小文字扱い ) 2 文字目以降 : A~Z, a~z, 0~9, _, 先頭の文字の case で 2 つに区別 小文字 : 変数,

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Fortran 勉強会 第 5 回 辻野智紀

Fortran 勉強会 第 5 回 辻野智紀 Fortran 勉強会 第 5 回 辻野智紀 今回のお品書き サブルーチンの分割コンパイル ライブラリ 静的ライブラリ 動的ライブラリ モジュール その前に 以下の URL から STPK ライブラリをインストールしておいて下さい. http://www.gfd-dennou.org/library/davis/stpk 前回参加された方はインストール済みのはず. サブルーチンの分割コンパイル サブルーチンの独立化

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド メソッド ( 教科書第 7 章 p.221~p.239) ここまでには文字列を表示する System.out.print() やキーボードから整数を入力する stdin.nextint() などを用いてプログラムを作成してきた これらはメソッドと呼ばれるプログラムを構成する部品である メソッドとは Java や C++ などのオブジェクト指向プログラミング言語で利用されている概念であり 他の言語での関数やサブルーチンに相当するが

More information

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます アナログ波形の描画 表示と SPICE ファイルのインポート エクスポート SynaptiCAD 社の WaveFormerPro は デジタル波形のみならず アナログ波形もサポートしております このアナログ波形サポート機能により デジタル信号 アナログ信号の変換 またその逆変換なども可能となります さらに オシロスコープ ( アジレント テクノロジー社 のデータをインポートする事もできますので WaveFormerPro

More information

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを メトリクス利用によるリファクタリング対象の自動抽出 ローランドディー. ジー. 株式会社 第 4 開発部 SC02 小林光一 e-mail:kouichi.kobayashi@rolanddg.co.jp 2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない

More information

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a MATLAB コードを使用した C コードの生成クイックスタートガイド (R2016a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには [ ビルド ] を [ ソースコード ] [ スタティックライブラリ ] [ ダイナミックライブラリ ] または [ 実行ファイル ] のいずれかに切り替えます MATLAB Coder を使用することで MATLAB コードから

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

言語プロセッサ2005

言語プロセッサ2005 url: kameken.clique.jp/lectures/lectures2014/compiler2014/ 言語プロセッサ 2014 Language Processors 2014 平成 26 年 9 月 22 日 ( 月 ) 東京工科大学コンピュータサイエンス学部亀田弘之 まずはイントロから なぜ言語プロセッサを学ぶのか? (Why do we study a course 言語プロセッサ?)

More information

SystemDirector Developer's Studio(V3.2) 適用ガイド

SystemDirector Developer's Studio(V3.2) 適用ガイド 目次 6. 開発時のトラブルシューティング...2 6.2. WTP( 共通 ) の注意制限事項... 2 6.2.1. インストール済みサーバランタイム環境 画面の キャンセル...2 6.2.2. サーブレットの作成 画面の スーパークラスからのコンストラクター...3 6.2.3. Webプロジェクトの設定 画面の デフォルトの復元...3 6.2.4. サーバー 画面の デフォルトの復元...4

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1

目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1 ET2015 ミニセミナー Arduino で実演 今すぐ始める簡単派生開発 株式会社富士通コンピュータテクノロジーズ伊澤松太朗 1270karch01 目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1 当社のご紹介 2 会社概要 株式会社富士通コンピュータテクノロジーズ

More information

slide5.pptx

slide5.pptx ソフトウェア工学入門 第 5 回コマンド作成 1 head コマンド作成 1 早速ですが 次のプログラムを head.c という名前で作成してください #include #include static void do_head(file *f, long nlines); int main(int argc, char *argv[]) { if (argc!=

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

Oracle SQL Developer Data Modeler

Oracle SQL Developer Data Modeler Oracle SQL Developer Data Modeler テクニカル レビュー - 2009 年 6 月 アジェンダ テクニカル レビューおよび機能レビュー 開発者の生産性に重点 Oracle SQL Developer Data Modeler の概要 対象 テクノロジー 機能のレビュー パッケージの更新 Oracle SQL Developer

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 05 関数 (1) 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 関数とは p.162 数学的には入力に対して出力が決まるもの C 言語では入出力が定まったひとまとまりの処理 入力や出力はあるときもないときもある main() も関数の一種 何かの仕事をこなしてくれる魔法のブラックボックス 例 : printf() 関数中で行われている処理の詳細を使う側は知らないが,

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

DAシンポ2003_SLD研_発表原稿

DAシンポ2003_SLD研_発表原稿 DA 2003 - JEITA SLD - JEITA E D A S L D NEC http://eda.ics.es.osaka-u.ac.jp/jeita/eda/english/project/sld/index.html Copyright 2002-2003 JEITA, All rights reserved SLD Copyright 2002-2003 JEITA, All rights

More information

PGRelief C/C++ 強化ポイント説明書

PGRelief C/C++ 強化ポイント説明書 PGRelief C/C++ 強化ポイント説明書 1. 最新バージョンの強化ポイント (2017autumn 2018) 1) CERT Cコーディングスタンダードの適合性チェックを追加 CERTオプションの購入が必要 2) 指摘メッセージを16 個追加 ( うち15 個はCERTオプション用 ) 3) Visual C++ 2015 の資産に対応 2. 過去バージョンの強化ポイント 2.1. 強化ポイント

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx PFCore(RT ミドルウェア ) トレーニング中級編 10:00-11:00 第 1 部 :RT コンポーネントプログラミングの概要 担当 : 安藤慶昭 ( 産業技術総合研究所 ) 概要 :RT コンポーネントの作成方法, 設計時の注意点などの概要について解説します 第 2 部 :RT ミドルウェア (PFcore) 開発支援ツールと RT コンポーネントの作成方法 11:00-12:00 12:00-13:00

More information

説明書

説明書 ******************************************************************************** ** ** ** ASCII 範囲外の外部シンボルチェックツール (ASCIICHK) 説明書 ** ** ** ********************************************************************************

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

Microsoft Word - J-jdev_dba_db_developers.doc

Microsoft Word - J-jdev_dba_db_developers.doc Oracle JDeveloper 2006 1 : Oracle Oracle JDeveloper 2 Oracle JDeveloper :... 2... 4... 4... 4... 5... 6 SQL... 7... 8... 8 SQL... 10 PL/SQL... 11 PL/SQL... 11 Code Editor PL/SQL... 12 Navigator Structure...

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

Microsoft Word - tutorial3-dbreverse.docx

Microsoft Word - tutorial3-dbreverse.docx 株式会社チェンジビジョン使用バージョン :astah* 6.0, 6.1 [ ] サンプル サポート対象外 目次 DB リバースを使ってみよう ( サンプル サポート対象外 ) 2 ご利用の前に 2 予備知識 2 データベースの環境設定をしてみよう 2 astah* データベースリバースコンポーネントを使用してみよう 5 作成した asta ファイルを astah* professional で開いてみよう

More information

kiso2-03.key

kiso2-03.key 座席指定はありません Linux を起動して下さい 第3回 計算機基礎実習II 2018 のウェブページか ら 以下の課題に自力で取り組んで下さい 計算機基礎実習II 第2回の復習課題(rev02) 第3回の基本課題(base03) 第2回課題の回答例 ex02-2.c include int main { int l int v, s; /* 一辺の長さ */ /* 体積 v

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

「RAD Studio XE5によるマルチ言語/マルチデバイス開発の進め方」

「RAD Studio XE5によるマルチ言語/マルチデバイス開発の進め方」 C1 Delphi/C++ チュートリアルセッション RAD Studio XE5 によるマルチ言語 / マルチデバイス開発の進め方 エンバカデロ テクノロジーズエヴァンジェリスト高橋智宏 アジェンダ RAD Studio XE5 の概要 Delphi or C++ Intel or ARM Windows / OS X / ios / Android プロジェクトとは? FireMonkey HD

More information

SystemC言語概論

SystemC言語概論 SystemC CPU S/W 2004/01/29 4 SystemC 1 SystemC 2.0.1 CPU S/W 3 ISS SystemC Co-Simulation 2004/01/29 4 SystemC 2 ISS SystemC Co-Simulation GenericCPU_Base ( ) GenericCPU_ISS GenericCPU_Prog GenericCPU_CoSim

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

JAVA とテンプレート

JAVA とテンプレート JAVA とテンプレート 序論 : コンテナ 他のクラスのオブジェクトを保存するものをコンテナ (Container) と呼ぶ 集合 リスト 表 コンテナに求められる機能 追加 削除 参照 要素の比較 並べ替え 要素のクラスが不明では 比較できない 要素が想定しているクラスのものかの判定 テンプレート以前の対応方法 コンテナ設計時に 保存されるクラスを特定してコンテナをコードする 保存されるクラスごとに作成しなければならない

More information

スクールCOBOL2002

スクールCOBOL2002 (h) 登録集原文の指定方法 . 登録集原文の指定方法 複数の COBOL プログラムに共通の記述を別のソースファイルとしておき COPY 文で取り込むことができます 登録集原文の概念図を下欄に示します このようにすると コーディング量を削減でき 記述ミスもなくなるため 開発効率を高めることができます ここでは 第 章で実習した reidai.cbl というソースファイルの DATA0 と YYMMDD

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

IM-SecureSignOn

IM-SecureSignOn IM-SecureSignOn Version 7.2 リリース ノート 2012/02/29 初版 1 はじめに 1. IM-SecureSignOn が動作するには intra-mart WebPlatform/AppFramework Version 7.2 が必要です 最新パッチの適応を推奨します 2. 本ドキュメントは本製品固有の要件を記載しています 以下に記載のないものは intra-mart

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

ハードウェア記述言語の今と未来_公開用.ppt

ハードウェア記述言語の今と未来_公開用.ppt ハードウェア記述言語の今と未来 未来言語 2009 アジェンダ o 自己紹介 o Verilog の紹介 VHDL OpenSparc だ! o ちょっと未来の言語 SystemVerilog SystemC o 未来の言語 HW 記述 リコンフィグ CPU カスタマイズ コプロの自動生成 ルールベース 成功事例 自己紹介 o なつたん FPGA 系プログラマ マイコンも好き 長門有希も好き ココログ

More information

HLS はじめてガイド - 簡易チュートリアル

HLS はじめてガイド - 簡易チュートリアル ALTIMA Company, MACNICA, Inc. HLS はじめてガイド簡易チュートリアル Ver.17.1 2018 年 9 月 Rev.2 HLS はじめてガイド簡易チュートリアル 目次 はじめに...3 評価環境...3 インテル HLS コンパイラ...5 インテル HLS コンパイラの概要... 5 インテル HLS コンパイラ使用時に必要なソフトウェア... 6 事前準備 (

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

COBOL EE開発環境 ご紹介資料

COBOL EE開発環境 ご紹介資料 COBOL Enterprise Edition 開発環境のご紹介 2015 年 8 本電気株式会社 次 1. COBOL 開発環境とは 2. 編集機能 3. ビルド機能 4. プロジェクト管理 5. 資産管理機能 6. 分散開発機能 7. 動作環境 1. COBOL 開発環境とは 1.1. COBOL 開発環境とは Visual Studio ベースの開発環境 最新かつ豊富な開発 援機能を持つVisual

More information

1

1 Active-HDL GUI 基本シミュレーション Rev. 5.0 作成日 :2007/4/2 最終改定日 :2016/05/09 1 はじめに... 3 2 Active-HDL の起動... 3 3 プロジェクトの作成... 4 3.1 ワークスペースの作成... 4 3.1.1 New Workspace ウィザードの起動... 4 3.1.2 New Workspace ウィザードの設定...

More information

intra-mart EX申請システム version.5.3 PDFオプション リリースノート

intra-mart EX申請システム version.5.3 PDFオプション リリースノート ver.5.3 リリース ノート 第二版 2011/3/31 1. システム要件 システム要件 Version.5.3 は以下の製品上で動作します 動作環境については 以下の製品のリリース ノートを参照してください BaseModule Version.5.0 BaseModule Version.5.1 WebPlatform Version.6.0 WebPlatform Version.6.1

More information

001-002_...j.f......_..

001-002_...j.f......_.. 1 2 1 Chapter of Export 1 10 2 12 3 14 4 16 5 18 6 20 7 22 8 24 9 26 10 28 11 30 12 32 13 34 14 36 15 38 16 40 17 42 18 44 19 46 3 20 48 21 50 22 52 23 54 24 56 25 58 26 60 27 62 28 64 29 66 30 68 Chapter

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

ジョブ管理ソフトウェア LoadStar Scheduler ご紹介資料 ~ システム運用品質の向上とコスト削減を実現 ~

ジョブ管理ソフトウェア LoadStar Scheduler ご紹介資料 ~ システム運用品質の向上とコスト削減を実現 ~ ジョブ管理ソフトウェア LoadStar Scheduler ご紹介資料 ~ システム運用品質の向上とコスト削減を実現 ~ はじめに LoadStar Scheduler は システム運用管理者による視点でソフトバンクによって自社開発された運用ジョブ管理ソフトウェアで ソフトバンク社内のシステム運用管理において既に 4 年間の実績があり 業務効率化やコスト削減に大きな成果を挙げている製品です 2 LoadStar

More information

目次 1. はじめに 準備 機器構成 やさしく名刺ファイリング Pro v.14.0 セットアップと動作確認 やさしく名刺ファイリング Pro v.14.0 セットアップ... 5 Windows Windows 8.

目次 1. はじめに 準備 機器構成 やさしく名刺ファイリング Pro v.14.0 セットアップと動作確認 やさしく名刺ファイリング Pro v.14.0 セットアップ... 5 Windows Windows 8. e BRIDGE Plus for Card Scan やさしく名刺ファイリング Pro v.14.0 設定手順書 Rev. 1.3 発行 :2017/06/14 東芝テック株式会社 目次 1. はじめに... 3 2. 準備... 3 3. 機器構成... 4 4. やさしく名刺ファイリング Pro v.14.0 セットアップと動作確認... 5 4-1. やさしく名刺ファイリング Pro v.14.0

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

TECSをサポートする構造設計ツール ZIPC Toy!

TECSをサポートする構造設計ツール  ZIPC Toy! ET2009 TOPPERS セッション C-9 TECS をサポートするコンポーネント設計ツール ZIPC Toy! と活用法 キャッツ株式会社ソフトウェア事業部今井良和 2009/11/9 CATS co., ltd., TOPPERS プロジェクト 1 目次 TECSについて コンポーネント記述言語 CDL ツールチェーン ZIPC Toy! : コンポーネント設計 ZIPC : コンポーネントの振る舞い設計

More information

メディプロ1 Javaプログラミング補足資料.ppt

メディプロ1 Javaプログラミング補足資料.ppt メディアプロジェクト演習 1 Javaプログラミング補足資料 l Javaとは l JavaScript と Java 言語の違い l オブジェクト指向 l コンストラクタ l 継承 抽象クラス 本資料内のページ番号は, 以下の参考書のページを引用している高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに l プログラミング言語とは? l オブジェクト指向とは?

More information

Javaの作成の前に

Javaの作成の前に メディアプロジェクト演習 1 参考資料 Javaとは JavaScript と Java 言語の違い オブジェクト指向 コンストラクタ サーブレット 本資料内のページ番号は, 以下の参考書のページを引用している 高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに プログラミング言語とは? オブジェクト指向とは? Java 言語とは? JavaとJavaScriptの違いとは?

More information

intra-mart EX申請システム version.7.2 PDFオプション リリースノート

intra-mart EX申請システム version.7.2 PDFオプション リリースノート intra-mart EX 申請システム ver.7.2 PDF オプション リリース ノート 第一版 2011/2/28 1. システム要件 システム要件 intra-mart EX 申請システム Version.7.2 PDF オプションは以下の製品上で動作します 動作環境については 以下の製品のリリース ノートを参照してください intra-mart WebPlatform Version.7.2

More information

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 今回のプログラミングの課題 次のステップによって 徐々に難易度の高いプログラムを作成する ( 参照用の番号は よくわかる C 言語 のページ番号 ) 1. キーボード入力された整数 10 個の中から最大のものを答える 2. 整数を要素とする配列 (p.57-59) に初期値を与えておき

More information

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdio.h> #define InFile "data.txt" #define OutFile "sorted.txt" #def

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdio.h> #define InFile data.txt #define OutFile sorted.txt #def C プログラミング演習 1( 再 ) 6 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include #define InFile "data.txt" #define OutFile "sorted.txt"

More information

CashDrawer ライブラリ API 仕様書 2014/07/09 CashDrawer ライブラリ API 仕様書 Rev / 10

CashDrawer ライブラリ API 仕様書 2014/07/09 CashDrawer ライブラリ API 仕様書 Rev / 10 2014/07/09 CashDrawer ライブラリ API 仕様書 Rev. 00.0.04 1 / 10 目次 1. ファイル構成... 3 2. 環境 3 2.1. 動作環境 OS... 3 2.2. コンパイル時の注意点... 3 2.3. USB ドライバ... 3 3. 関数一覧... 4 3.1. USB 接続確認処理 (CD_checkConnect CD_checkConnect)

More information

Microsoft PowerPoint - A1-2_株式会社ネクスト_藤澤正通_S _005.pptx

Microsoft PowerPoint - A1-2_株式会社ネクスト_藤澤正通_S _005.pptx SQiP シンポジウム 2012 ページオブジェクトパターンによる 動テストメンテナンスの効率化 株式会社ネクスト HOMEʼS事業本部 サービス推進部 品質管理グループ 藤澤 正通 FujisawaMasamichi@next-group.jp 1 動化への取組み 2011 年 4 : リグレッションテストの 動化検討を開始 6 :Selenium IDE を採 動化に着 7 : 機能カバレッジ

More information

Slide 1

Slide 1 はじめての MicroBoard キット入門 Spartan-6 LX9 MicroBpard キット概要 V1.2 アヴネットジャパン株式会社 内容一覧 MicroBoardキット概要キットに含まれるもの MicroBoardボード概要ボードブロック図 MicroBoard 外観 サイズイメージ MicroBoardインタフェース FPGAの起動方法 ( コンフィギュレーション方法 ) FPGA

More information

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdiu.h> #define InFile "data.txt" #define OutFile "surted.txt" #def

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdiu.h> #define InFile data.txt #define OutFile surted.txt #def C プログラミング演習 1( 再 ) 6 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include #define InFile "data.txt" #define OutFile "surted.txt"

More information

PowerPoint Presentation

PowerPoint Presentation ETAS の AUTO ソリューションイータス株式会社 1 Public STJ2/ETAS 2014-01 ETAS GmbH 2014. All rights reserved, also regarding any disposal, exploitation, reproduction, editing, イータス株式会社会社概要 ETAS Driving Embedded Excellence

More information

C 言語の式と文 C 言語の文 ( 関数の呼び出し ) printf("hello, n"); 式 a a+4 a++ a = 7 関数名関数の引数セミコロン 3 < a "hello" printf("hello") 関数の引数は () で囲み, 中に式を書く. 文 ( 式文 ) は

C 言語の式と文 C 言語の文 ( 関数の呼び出し ) printf(hello, n); 式 a a+4 a++ a = 7 関数名関数の引数セミコロン 3 < a hello printf(hello) 関数の引数は () で囲み, 中に式を書く. 文 ( 式文 ) は C 言語復習 C 言語の基礎 来週もこの資料を持参してください C 言語, ソースファイルの作成, コンパイル, 実行 1 C 言語 C 言語プログラミングの手順 とは, 計算機を動かす手順を記述したもの. 計算機に命令を与えて動かすには を作成する ことになる. C 言語はプログラミング言語の 1 個 手続き型言語に分類される. C/C++ は非常に多くの場面で使われる言語 C++ は C 言語をオブジェクト指向に拡張したもの

More information

Microsoft PowerPoint - 09.pptx

Microsoft PowerPoint - 09.pptx 情報処理 Ⅱ 第 9 回 2014 年 12 月 22 日 ( 月 ) 関数とは なぜ関数 関数の分類 自作関数 : 自分で定義する. ユーザ関数 ユーザ定義関数 などともいう. 本日のテーマ ライブラリ関数 : 出来合いのもの.printf など. なぜ関数を定義するのか? 処理を共通化 ( 一般化 ) する プログラムの見通しをよくする 機能分割 ( モジュール化, 再利用 ) 責任 ( あるいは不具合の発生源

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

BIP Smart サンプル説明書

BIP Smart サンプル説明書 FUJITSU Software Interstage List Creator 向け BIP Smart サンプル説明書 はじめに 本書は BIP Smart のサンプル説明書です [ 対象製品 ] BIP Smart 帳票連携 Edition [ 免責事項 ] 本プログラムはサンプルプログラムであるため 株式会社 PFU( 以降 PFU と表記 ) は 本プログラムに関して一切の動作保証をするものではありません

More information

Program Design (プログラム設計)

Program Design  (プログラム設計) 7. モジュール化設計 内容 : モジュールの定義モジュールの強度又は結合力モジュール連結モジュールの間の交信 7.1 モジュールの定義 プログラムモジュールとは 次の特徴を持つプログラムの単位である モジュールは 一定の機能を提供する 例えば 入力によって ある出力を出す モジュールは 同じ機能仕様を実装しているほかのモジュールに置き換えられる この変化によって プログラム全体に影響をあまり与えない

More information

FUJITSU Software Systemwalker for Oracle V15 (15.1) 紹介資料

FUJITSU Software Systemwalker for Oracle V15 (15.1) 紹介資料 FUJITSU Software Systemwalker for Oracle V15.1 ご紹介 2017 年 12 月富士通株式会社 目次 こんなことでお困りではありませんか? Systemwalker for Oracleが解決します! Systemwalker for Oracle 機能ご紹介 動作環境 ( プラットフォーム ) 他社と比べてSystemwalker for Oracleはこんなに便利

More information

自己紹介 日本電気株式会社情報 ナレッジ研究所 須堯一志 ( すぎょうかずし ) 主にネットワークのプロトコルを開発している技術者 1997 年に IPv6 のプロトコルスタック開発を通じてオープンソース開発に関わる 2009 年頃から OpenFlow に関わる 2011 年 Trema がオープ

自己紹介 日本電気株式会社情報 ナレッジ研究所 須堯一志 ( すぎょうかずし ) 主にネットワークのプロトコルを開発している技術者 1997 年に IPv6 のプロトコルスタック開発を通じてオープンソース開発に関わる 2009 年頃から OpenFlow に関わる 2011 年 Trema がオープ OSS ユーザーのための勉強会 < OSS X Users Meeting > #3 OpenFlow OpenFlow プログラミング フレームワーク Trema Trema 開発チーム 須堯一志 自己紹介 日本電気株式会社情報 ナレッジ研究所 須堯一志 ( すぎょうかずし ) 主にネットワークのプロトコルを開発している技術者 1997 年に IPv6 のプロトコルスタック開発を通じてオープンソース開発に関わる

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 1 前回教育用の RISC POCO を導入しました 今日はその Verilog 記述を紹介します まず この復習をやっておきましょう 2 最も重要な点は メモリの読み書きで レジスタ間接指定の理解です これはポインタと一緒なので 間違えないように修得してください 3 RISC なので 基本の演算はレジスタ同士でしかできません MV はレジスタ間のデータ移動なので気をつけてください 4 イミーディエイト命令は

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information